Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Size: px
Start display at page:

Download "Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology"

Transcription

1 Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1

2 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion Beam 2

3 Lithography - Overview Thin Films Polish Patterned wafer Diffusion Litho Etch Test/Sort Implant * Lithography is at the Center of the Wafer Fabrication Process 3

4 Moore s Law Duplication of the complexity (number of circuits/transistors on a chip) of integrated circuits every 2 years (exponentional growth) [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 4

5 Moore s Law 5

6 Lithography - Overview Lithography is used to produce 2 1/2-D images using radiation sensitive resist and controlled exposure to radiation. The most widely used form of lithography is photolithography using light sensitive resist. UV-Lithography X-ray lithography Electron Beam Lithography Ion Beam Lithography De Broglie: h mv Wave length λ of radiation limits achievable resolution. (resolution of e- and ion-beam lithography limit by scattering) 6

7 Lithography - Overview Ten Basic Steps of Lithography 1. Surface Preparation 2. Resist Application 3. Soft Bake 4. Alignment & Exposure 5. Develop 6. Hard Bake 7. Inspection 8. Etch 9. Resist Removal 10. Final Inspection 7

8 Lithography - Overview Basic Steps of Lithography Image source: M. Madou, Lecture Notes,

9 Lithography - Overview Basic Steps of Lithography Coat with resist Expose Resist Substrate Radiation Mask Develop Transfer pattern Etch Doping Deposit film Strip resist LIFT-OFF Image source: R. Zengerle, lecture notes, Mikrosystemtechnik 9

10 Lithography - Overview Basic Steps of Lithography Alternative scheme: Hard masks (1) Structure transfer to a deposited layer on the substrate (2) Patterned layer is used as hard mask in a second etching step [R. Zengerle, Mikrosystemtechnik, lecture notes] 10

11 Lithography - Overview A resist is a radiation sensitive polymer. patterned selectively resistant to following processing Negative resist: Prints a pattern that is opposite of the pattern that is on the mask. Positive resist: Prints a pattern that is the same as the pattern on the mask. Image source: R. Zengerle, lecture notes, Mikrosystemtechnik 11

12 Lithography - Overview Negative lithography Chrome island on glass mask Shadow on photoresist Ultraviolet Light Areas exposed to light become polymerized and resist the develop chemical. Exposed area of photoresist Island photoresist Window Image source: M. Madou, Lecture Notes, 2008 photoresist oxide silicon substrate oxide silicon substrate Resulting pattern after the resist is developed. 12

13 Lithography - Overview Positive lithography Ultraviolet Light Areas exposed to light become soluble. Chrome island on glass mask Shadow on photoresist Island Window Exposed area of photoresist photoresist Image source: M. Madou, Lecture Notes, 2008 photoresist oxide silicon substrate oxide silicon substrate Resulting pattern after the resist is developed. 13

14 Lithography - Overview Example: (DNQ-) Reaction (positive) During exposure with UV-light the photo active compound DiazoNaphtoQuinone- (DNQ-) sulfonate (left) separates a N 2 molecule (middle), and converts into indene carboxylic acid (right) requiring H 2 O. Compared to unexposed DNQsulfonate, the carboxylic acid yields a resist development rate (alkaline solubility) several orders of magnitude higher. λ λ quantum efficiency % backbone molecules (viscosity) [ 14

15 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion Beam 15

16 1. Surface Preparation by Wafer Priming (HMDS) Adhesion of the resist is often insufficient on Si or SiO 2 HMDS as adhesion promoter Dehydration bake in enclosed chamber with exhaust Clean and dry wafer surface (hydrophobic) Hexamethyldisilazane (HMDS) Temp ~ C Time ~ 60 sec HMDS [M. Madou, Fundamentals of Microfabrication, Lecture Notes] 16

17 unpolar methyl groups form hydrophobic surface with corresponding resist wettability and adhesion HMDS (hexamethyldisilazane) [M. Madou, Fundamentals of Microfabrication, Lecture Notes] 17

18 2. Resist Application Wafer or substrate are held onto vacuum chuck Dispense few milliliters of photoresist Slow spin ~ 500 rpm Ramp up to ~ rpm Quality issues: thickness uniformity particles & defects to vacuum pump photoresist dispenser vacuum chuck spindle 18

19 Resist thickness T depends on: Spin speed Solution concentration Molecular weight (measured by intrinsic viscosity) c h T K w In the equation for T, K is a calibration constant, C the polymer concentration in grams per 100 ml solution, h the intrinsic viscosity, and w the number of rotations per minute (rpm) The equation can be used to predict the thickness of the resist that can be spun for various molecular weights and solution concentrations of a given polymer and solvent system 19

20 Spin Coater Spin Coater OPTIspin ST22P Substrate size up to 8 Chucks for 4, 6, 8 and pieces spin speed up to 10,000 rpm Hot Plate HMDS Adhesion promoter (C 6 H 19 NSi 2 ) Temperature up to

21 Spin Coating Spray Coating Image source: M. Madou, Lecture Notes,

22 3. Softbake Partial evaporation of resist solvents Improves adhesion Improves uniformity Improves etch resistance Improves linewidth control Optimizes energy absorbance characteristics of resist 22

23 4. Alignment & Exposure Transfers the mask image to the resist-coated wafer UV Light Source Activates photo-sensitive components of photoresist Mask Quality issues: linewidth resolution overlay accuracy particles & defects Resist 23

24 Masks for optical Lithography: Drawing with CAD Design the layout with CAD-programms Example for a mask structure for microinductors 24

25 Masks for optical Lithography: Quartz glass plate with structured chromium layer (absorber pattern metal, Cr typ. thickness: 100 nm) Typical costs: 30 /cm 2 for structures > 5 µm 75 /cm 2 for structures 1-5 µm masks for 4 wafer approx [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 25

26 Fabrication of masks: deposit resist on chromium coated quartz plate structure resist with electron beam lithography develop resist wet etching of chromium resist removal [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 26

27 Printing techniques: Shadow Projection [M. Madou, Fundamentals of Microfabrication, Lecture Notes] 27

28 Contact printing: Mask is pressed to the wafer/resist in contact without gap (hard/soft) contact mode / vacuum mode + structures in sub-μm range are possible + minor failures at the pattern transfer contamination of the mask defects by dirt particles [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 28

29 Proximity printing: No direct contact between mask and wafer/resist proximity gap of ~ 30µm + less stress on the mask + higher lifetime of the mask + higher throughput lower resolution [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 29

30 Projection printing: Downsizing of the mask structure by objectives Step-by-step projection of the mask structure on the wafer only one functional unit (e.g. chip) on the wafer mirror light source filter condenser lens mask + enlarged masks easier in production: better to control cheaper production of masks (only 1 chip on the mask) equipment is expensive (lenses) low throughput objective field of exposure movable sample table (step and repeat) [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 30

31 Intensity emission spectrum of a mask aligner with Hg light source contains three lines the absorption spectrum of the photoresists is matched to this Hg emission spectrum. distinguish between broadband sensitive (g-, h-,and i-line) photoresists, and resists with a absorption spectrum more narrow Ligth Source/Sensitivity of Resists: h-, i-line resist g-, h-, i-line resist Wavelength [ 31

32 Resolution in contact and proximity printing: The theoretical resolution R is equal to the minimum resolved dimension with a grating mask (b min for a line or a space). It is limited by diffraction to: R 3 z bmin s 2 2 b min : half grating period s: gap between mask and photoresist surface z: resist thickness 32

33 Resolution in contact and proximity printing: Contact printing: s 0 R Typical values for a conventional system: 3 2 z 2 400nm (h - line) z 1µm R 0. 7µm (theoretical) Proximity printing: s z R Typical values for a conventional system: 3 2 s 400nm (h - line) s 20µm R 4µm (theoretical) 33

34 Resolution is influenced by: wavelength (diffraction) gaps between resist and mask Reasons for a gap between resist and chromium layer of the mask: particles in the resist caused by either insufficient cleanroom conditions, contaminated substrates, or expired photoresist bubbles in the resist film caused during dispensing, or an insufficient delay time after refilling/diluting/moving the resist mask contamination by particles, or resist (previous exposures) rough, structured or curved (strained) substrates an edge bead, or a mask attached upsidedown 34

35 Contributors to Non-Rectangular Wafer Pattern Lithography process variations: Lens aberration, misalignment, defocus, overexposure Sub-wavelength non-ideal optical effects due to Diffraction Those effects result in wafer pattern distortion: Line-end shortening, corner rounding, line-edge roughness 35

36 theoretical max. resolution (μm) Resolution: gaps between resist and mask resist thickness not considered [ Light intensity distribution in a photo resist film (cross section) with a - from top to bottom- increasing gap between mask and resist film. 36

37 absorption coeff (1/ μm) Resolution is influenced by: wavelength (diffraction) gaps between resist and mask defects in the mask bleaching of the photoresist contrast optical substrate properties [ 37

38 d/d o of the developed resist film Contrast: The slope of the decay in the contrast curve defines the contrast (remaining layer thickness d in relation to the starting thickness d 0 ) high contrast low contrast Log 10 (exposure dose) D C (dose to clear) depends on resist thickness, developer concentration and development time. 38

39 UV-reflecting substrates (e.g. metallized) increase the absorbed light dose in the resist near the substrate UV-transmissive substrates (e.g. quartz, glass, thick SiO 2 on Si, transparent polymers) laterally guide light along the substrate, cause reflections from the chuck and reduce the lateral resolution Resolution: optical substrate properties [ 39

40 Alignment: wafer stage in a coventional mask aligner [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 40

41 Alignment: Pattern transfer using several masks demands the alignment with sub-µm accuracy performance in a mask aligner substrate is movable in x, y and θ first mask aligned at the flat large scale production: fully automatic alignment and exposure [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 41

42 Alignment: subsequent masks are aligned by means of alignment markers large scale production: fully automatic alignment and exposure [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 42

43 Alignment: = first mask second mask [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 43

44 Alignment: mask 1 mask 2 mask 3 double exposure multiple exposure [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 44

45 5. Develop Soluble areas of the resist are dissolved by developer chemical developer dispenser Visible patterns appear on wafer windows islands Quality issues: line resolution uniformity particles & defects to vacuum pump vacuum chuck spindle 45

46 Photoresist profiles: Undercut (LIFT-OFF) Vertical Overcut Dose : High Developer: Low Dose : Medium Developer: Moderate Dose : Low Developer: Dominant 46

47 Lift-Off process: Aim: Structuring metal without etch process structure the photoresist deposit metal (e.g. Pt) on the whole surface resolve the photoresist metal on resist is removed metal on substrate remains substrate metal resist Inversion of the edge profile by image reversal technique enables the lift-off process [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 47

48 Image reversal: Double exposure of resist and chemical modification in between positv resist behaves like high resolution negativ resist soluble insoluble crosslinking insoluble 1. Exposure 2. Temperature (~90 ) soluble flood exposure still insoluble 3. Exposure 4. Develop [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 48

49 Image reversal: Inversion of the edge profile Standard Lithography exposed unexposed Image Reversal [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 49

50 Photoresists: example for different resists for different applications and thickness [ 50

51 SU-8 Technology: epoxy-based negativ resist layer thickness up to ~500µm (up to ~2mm with several layers on top of each other) aspect ratio of 1:40 (high contrast of SU-8) high chemical resistance application as etching mask high volume shrinkage after postbake high stresses in large-scale structures handling more critical than for standard resists [R. Zengerle, Mikrosystemtechnik, Lecture Notes] 51

52 6. Hard bake Evaporate remaining resist Improve adhesion Higher temperature than soft bake 52

53 8. After inspection the pattern transfer is performed, e.g. by etching Selective removal of upper layer of wafer through windows in resist: subtractive Two basic methods: wet acid etch Au with KI/I 2 Si with HF dry plasma etch Reactive Ion Etching (RIE) Ion Beam Etching (IBE) Quality issues: defects and particles step height selectivity Alternatively Adding materials (additive) Two main techniques: Sputtering evaporation Lift-Off CF 4 Plasma 53

54 9. Resist removal (strip) O 2 No need for resist in the following preparation step Two common methods: wet removal with solvent (e.g. aceton or N-methyl-2-pyrrolidone (NMP)) dry plasma etching with O 2 - plasma Followed by wet clean to remove remaining resist and strip byproducts Plasma 54

55 10. Final inspection Resist has been completely removed Pattern on wafer matches mask pattern (positive resist) Quality issues: defects particles (cleanroom class) step height overlay accuracy critical dimensions 55

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

AZ 1512 RESIST PHOTOLITHOGRAPHY

AZ 1512 RESIST PHOTOLITHOGRAPHY AZ 1512 RESIST PHOTOLITHOGRAPHY STANDARD OPERATIONAL PROCEDURE Faculty Supervisor: Prof. R. Bruce Darling Students: Katherine Lugo Danling Wang Department of Electrical Engineering Spring, 2009 TABLE OF

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

3.Photolithography and resist systems

3.Photolithography and resist systems 3.Photolithography and resist systems Exposure Mercury arc lamp Shadow printing projection printing Photomask Substrates Resist systems DNQ-Novolak-based Epoxy-based Polyimide based 1 Exposure Mercury

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool Contact Aligners (HTG, ABM, EV620) GCA 5X g-line Stepper GCA i-line Steppers (GCA 10X, AS200) Shipley 1800 Series (1805, 1813, 1818, 1827) + + X AZ nlof 2000 O X + AZ4903 + + X OiR 620-7i X X + OiR 897-12i

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

MICROLITHOGRAPHY 2004

MICROLITHOGRAPHY 2004 MICROLITHOGRAPHY 2004 From Computer Aided Design (CAD) to Patterned Substrate At the CNF, a number of different options exist for producing a patterned substrate, but deciding which option is best for

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

UFNF YES Image Reversal & HMDS Oven Revision 6.0 1/22/2014 Page 1 of 5. YES Image Reversal and HMDS Oven SOP

UFNF YES Image Reversal & HMDS Oven Revision 6.0 1/22/2014 Page 1 of 5. YES Image Reversal and HMDS Oven SOP 1/22/2014 Page 1 of 5 YES Image Reversal and HMDS Oven SOP Table of Contents 1.0 Safety 2.0 Quality Control and Calibrations 3.0 Processes Description 4.0 Process Information for Lift Off 5.0 Operation

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS 2 LASER INTERFERENCE LITHOGRAPHY (LIL) 9 2 LASER INTERFERENCE LITHOGRAPHY (LIL) Laser interference lithography [3~22] (LIL) is a method to produce periodic structures using two interfering highly-coherent

More information

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1 Dale E. Ewbank dale.ewbank@rit.edu ul012014.ppt 2014 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Supplementary Information Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Yongkuk Lee 1,+, Benjamin Nicholls 2,+, Dong Sup Lee 1, Yanfei Chen 3, Youngjae Chun 3,4,

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

(2) The resist now would behave like an exposed positive resist. soluble

(2) The resist now would behave like an exposed positive resist. soluble Processing image reversal s... symptoms, diagnosis, and trouble-shooting revised 2003-09-25 General information about image reversal s Detailed processing guidelines are given in the individual technical

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking Easley et al. Toner Transfer Masking Page -1- B816575K_supplementary_revd.doc December 3, 2008 Supplementary Information for Rapid and inexpensive fabrication of polymeric microfluidic devices via toner

More information

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using

More information

Micro- and Nano- Fabrication and Replication Techniques

Micro- and Nano- Fabrication and Replication Techniques Micro- and Nano- Fabrication and Replication Techniques Why do we have to write thing small and replicate fast? Plenty of Room at the Bottom Richard P. Feynman, December 1959 How do we write it? We have

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography University of California, Berkeley Department of Mechanical Engineering ME 290R Topics in Manufacturing, Fall 2014: Lithography Class meetings: TuTh 3.30 5pm in 1165 Etcheverry Tentative class schedule

More information

EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05

EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05 EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using different methods The purpose

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Fabrication of micro structures on curve surface by X-ray lithography

Fabrication of micro structures on curve surface by X-ray lithography Fabrication of micro structures on curve surface by X-ray lithography Yigui Li 1, Susumu Sugiyama 2 Abstract We demonstrate experimentally the x-ray lithography techniques to fabricate micro structures

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

2 Integrated Circuit Manufacturing:

2 Integrated Circuit Manufacturing: 2 Integrated Circuit Manufacturing: A Technology Resource 2 IC MANUFACTURING TECHNOLOGIES While the integrated circuit drives the packaging and assembly, the IC manufacturing process, and associated methodologies,

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information