Chapter 6. Photolithography

Size: px
Start display at page:

Download "Chapter 6. Photolithography"

Transcription

1 Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment and exposure systems Describe the wafer movement in a track-stepper integrated system. Explain relationships of resolution and depth of focus to wavelength and numerical aperture. 2006/4/10 2 1

2 Photolithography is : Introduction Temporarily coat photoresist on wafer and Transfers designed pattern to photoresist Most important process in IC fabrication To consume 40 to 50% total wafer process time Determines the minimum feature size, e.g. 0.18um technology in 2000, 70nm technology in /4/10 3 Applications of Photolithography Main application: IC patterning process Other applications: Printed electronic board, nameplate, printer plate, and et al. 2006/4/10 4 2

3 IC Fabrication Flow e-beam or Photo Mask or EDA PR Chip Reticle Photolithography Ion Implant Etch EDA: Electronic Design Automation PR: Photoresist 2006/4/10 5 Photolithography Requirements High Resolution High PR Sensitivity Precision Alignment, say within 10% of minimum feature size Precise Process Parameters Control Low Defect Density 2006/4/10 6 3

4 Lithography for Future Technology 2006/4/10 7 Photoresist Photo sensitive material, sensitive to ultraviolet (UV) but to visible light It s why we use yellow light to illuminate and call yellow room Transfer design image on it through exposure and development Very similar to the photo sensitive coating on the film for camera Positive and negative types 2006/4/10 8 4

5 Photoresist Negative Photoresist Becomes insoluble after exposure When developed, the unexposed parts dissolved. Cheaper with poor resolution Positive Photoresist Becomes soluble after exposure, (photosolubilization) When developed, the exposed parts dissolved Expensive with better resolution 2006/4/10 9 Negative and Positive Photoresists Photoresist Mask/reticle Photoresist Negative Photoresist Positive Photoresist Substrate Substrate Substrate Substrate UV light Exposure After Development 2006/4/

6 Photoresist Composition Polymer Solvents Sensitizers Additives 2006/4/10 11 Polymer Solid organic material Transfers designed pattern to wafer surface Changes solubility due to photochemical reaction when exposed to UV light. Positive PR: from insoluble to soluble Negative PR: from soluble to insoluble 2006/4/

7 Solvent Dissolves polymers into liquid Allow application of thin PR layers by spinning 75% of PR before spin coating Acetate-type solvent for positive PR; xylene (C 8 H 10 ) for negative PR 2006/4/10 13 Sensitizers Controls and/or modifies photochemical reaction of resist during exposure. Determines exposure time and intensity Additives Various added chemical to achieve desired process results, such as dyes to reduce reflection. 2006/4/

8 Negative Resist Most negative PR are polyisoprene type Exposed PR becomes cross-linked polymer Cross-linked polymer has higher chemical etch resistance. Unexposed part will be dissolved in development solution. 2006/4/10 15 Negative Photoresist Negative Photoresist Mask Expose Development 2006/4/

9 Disadvantages Negative Photoresist Polymer absorbs the development solvent Poor resolution due to PR swelling Environmental and safety issues due to the main solvents xylene. 2006/4/10 17 Comparison of Photoresists PR Film Substrate + PR Film Substrate 2006/4/

10 Positive Photoresist Exposed part dissolve in developer solution Image the same that on the mask Higher resolution Commonly used in advanced IC fabs 2006/4/10 19 Question Positive photoresist can achieve much higher resolution than negative photoresist, why didn t people use it before the 1980s? Positive photoresist is much more expensive therefore negative photoresist was used until it had to be replaced when the minimum feature size was shrunk to smaller than 3 m. 2006/4/

11 Chemically Amplified Photoresists To pattern a small feature, a shorter wavelength light source is required For deep ultraviolet (DUV), 248 nm or 193 nm Light source: excimer lasers Light intensity is lower than I-line (365 nm) or G- line (436 nm) from high-pressure mercury lamp Need different kind of photoresist 2006/4/10 21 Chemically Amplified Photoresists Catalysis effect is used to increase the effective sensitivity of the photoresist A photo-acid is created in PR when it exposes to DUV light During PEB, head-induced acid diffusion causes amplification in a catalytic reaction Acid removes protection groups Exposed part will be removed by developer 2006/4/

12 Requirement of Photoresist High resolution Thinner PR film has higher the resolution Thinner PR film, the lower the etching and ion implantation resistance High etch resistance Good adhesion Wider process latitude Higher tolerance to process conditions like spin rate, baking temperature and exposure flux 2006/4/10 23 Photoresist Physical Properties Photoresist must be able to withstand process conditions Coating, spinning, baking, developing. Etch resistance Ion implantation blocking 2006/4/

13 Photoresist Performance Factors : Resolution Adhesion Expose rate, Sensitivity and Exposure Source Process latitude Pinholes Particle and Contamination Levels Step Coverage Thermal Flow 2006/4/10 25 Resolution Capability The smallest opening or space that can produced in a photoresist layer. Related to particular processes including expose source and developing process. Thinner layer has better resolution. Etch and implantation barrier and pinhole-free require thicker layer Positive resist has better resolution due to the smaller size of polymer. 2006/4/

14 Photoresist Characteristics Summary Parameter Negative Positive Polymer Polyisoprene Novolac Resin Photo-reaction Polymerization Photo-solubilization Sensitizer Provide free radicals for polymer crosslink Additives Dyes Dyes Changes film to base soluble 2006/4/10 27 Photolithography Process 2006/4/

15 Basic Steps of Photolithography 1. Photoresist coating 2. Alignment and exposure 3. Development 2006/4/10 29 Basic Steps, Old Technology Wafer clean Dehydration bake Spin coating primer and PR Soft bake Alignment and exposure Development Pattern inspection Hard bake PR coating Development 2006/4/

16 Basic Steps, Advanced Technology Trackstepper integrated system Wafer clean Pre-bake and primer coating Photoresist spin coating Soft bake Alignment and exposure Post exposure bake Development Hard bake Pattern inspection PR coating Development 2006/4/10 31 Wafer Clean Gate Oxide STI Polysilicon P-Well USG 2006/4/

17 Pre-bake and Primer Vapor Primer STI Polysilicon P-Well USG 2006/4/10 33 Primer Photoresist Coating STI Photoresist Polysilicon P-Well USG 2006/4/

18 Soft Bake STI Photoresist Polysilicon P-Well USG 2006/4/10 35 Alignment and Exposure Gate Mask STI Photoresist Polysilicon P-Well USG 2006/4/

19 Alignment and Exposure Gate Mask STI Photoresist Polysilicon P-Well USG 2006/4/10 37 Post Exposure Bake STI Photoresist Polysilicon P-Well USG 2006/4/

20 Development STI PR Polysilicon P-Well USG 2006/4/10 39 Hard Bake STI PR Polysilicon P-Well USG 2006/4/

21 Pattern Inspection STI PR Polysilicon P-Well USG 2006/4/10 41 Wafer Clean Remove contaminants Remove particles Reduce pinholes and other defects Improve photoresist adhesion Basic steps Chemical clean Rinse Dry 2006/4/

22 Photolithography Process, Clean Older ways High-pressure nitrogen blow-off Rotating brush scrubber High-pressure water stream 2006/4/10 43 Wafer Clean Process Chemical Clean Rinse Dry 2006/4/

23 Photolithography Process, Prebake Dehydration bake Remove moisture from wafer surface Promote adhesion between PR and surface Usually around 100 C Integration with primer coating 2006/4/10 45 Photolithography Process, Primer Promotes adhesion of PR to wafer surface Wildly used: Hexamethyldisilazane (HMDS) HMDS vapor coating prior to PR spin coating Usually performed in-situ with pre-bake Chill plate to cool down wafer before PR coating 2006/4/

24 Pre-bake and Primer Vapor Coating Prep Chamber Primer Layer Wafer HMDS Vapor Wafer Hot Plate Dehydration Bake Hot Plate Primer Vapor Coating 2006/4/10 47 Wafer Cooling Wafer need to cool down Water-cooled chill plate Temperature can affect PR viscosity Affect PR spin coating thickness 2006/4/

25 Spin Coating Wafer sit on a vacuum chuck Rotate at high speed Liquid photoresist applied at center of wafer Photoresist spread by centrifugal force Evenly coat on wafer surface 2006/4/10 49 Photoresist Spin Coater Wafer PR EBR Drain Vacuum Chuck Exhaust Water Sleeve 2006/4/

26 Viscosity Fluids stick on the solid surface Affect PR thickness in spin coating Related to PR type and temperature Need high spin rate for uniform coating 2006/4/10 51 Relationship of Photoresist Thickness to Spin Rate and Viscosity Thickness (mm) cst 50 cst 27 cst 20 cst 10 cst 5 cst 0 2k 3k 4k 5k 6k Spin Rate (rpm) 7k 2006/4/

27 Dynamic Spin Rate Spin rate Time 2006/4/10 53 PR Spin Coater Photoresist spread on spinning wafer surface Wafer held on a vacuum chuck Slow spin ~ 500 rpm Ramp up to ~ rpm 2006/4/

28 Photoresist Applying PR dispenser nozzle Wafer To vacuum pump Chuck Spindle 2006/4/10 55 Photoresist Suck Back PR suck back PR dispenser nozzle Wafer Chuck Spindle To vacuum pump 2006/4/

29 Photoresist Spin Coating PR suck back PR dispenser nozzle Wafer Chuck Spindle To vacuum pump 2006/4/10 57 Photoresist Spin Coating PR suck back PR dispenser nozzle Wafer Chuck Spindle To vacuum pump 2006/4/

30 Edge Bead Removal (EBR) PR spread to the edges and backside PR could flakes off during mechanical handling and causes particles Front and back chemical EBR 2006/4/10 59 Edge Bead Removal Solvent Wafer Chuck Spindle To vacuum pump 2006/4/

31 Optical Edge Bead Removal After alignment and exposure Front-side wafer edge expose (WEE) Exposed photoresist at edge dissolves during development 2006/4/10 61 Optical Edge Bead Removal Photoresist Wafer Spindle Chuck 2006/4/

32 Developer Spin Off Edge PR removed Patterned photoresist Wafer Chuck Spindle To vacuum pump 2006/4/10 63 Soft Bake Evaporating most of solvent (> 80%) in PR Solvents help to make a thin PR but absorb radiation and affect adhesion Soft baking time and temperature are determined by PR types and specific process 90~110 C for 30 min. in oven; 10~15 min. for hotplate Over bake: polymerized, less photo-sensitivity Under bake: affect adhesion and exposure 2006/4/

33 Baking Tools Wafer Heater Heated N 2 Photoresist MW Source Wafers Chuck Vacuum Heater Wafer Vacuum Hot plate Convection oven Microwave oven 2006/4/10 65 Hot Plates Widely used in the industry Back side heating, no surface crust In-line track system Wafer Heater 2006/4/

34 Wafer Cooling Need to cool down to ambient temperature after baking Water-cooled chill plate Silicon thermal expansion rate: / C For 8 inch (200 mm) wafer, 1 C thermal change causes 0.5 m difference in diameter 2006/4/10 67 Alignment and Exposure Most critical process for IC fabrication Most expensive tool (stepper) in an IC fab. Most challenging technology Determines the minimum feature size Currently 0.18 m and pushing to 0.13 m 2006/4/

35 Alignment and Exposure Tools Contact printer Proximity printer Stepper 2006/4/10 69 Contact Printer Simple equipment. Widely used before mid- 70s Resolution: capable for sub-micron Use of UV light source Image ratio 1:1 Direct mask-wafer contact, limited mask lifetime Particle contamination issue 2006/4/

36 Contact Printer Light Source Lenses Mask Photoresist Wafer 2006/4/10 71 Proximity Printer 10 ~ 20 m distance from wafer surface. No direct contact Use of UV light Image ratio 1:1 Less particles and longer mask lifetime Resolution: > 2 m 2006/4/

37 Proximity Printer Light Source Lenses Mask Photoresist Wafer ~10 m 2006/4/10 73 Stepper Most popular used photolithography tool in the advanced IC fabs Reduction of wafer image gives high resolution Use of deep UV light Reticle-to-wafer ratio ~ 10:1 A reticle with 1.25 m min. feature size say can achieve m min. feature size on wafer Very expensive! (extremely complicated and precise) 2006/4/

38 Step-&-Repeat Alignment/Exposure Light Source Projection Lens Reticle Projection Lens Wafer Wafer Stage 2006/4/10 75 Step&Repeat Alignment System Light Source Reference Mark Interferometer Laser Reticle Stage Alignment Laser Reticle Projection Lens Wafer X 2006/4/10 76 Y Wafer Stage Interferometer Mirror Set 38

39 Q & A Q : Why does the 5:1 shrink ratio is more popular than the 10:1 shrink ratio? A : 10:1 image shrink has better resolution than 5:1 image shrink. However, it only exposes a quarter of the area, which means total exposure time will be quadrupled. A trade-off between resolution and throughput. 2006/4/10 77 Comparison of exposure systems 2006/4/

40 Propagation of a wave 2006/4/10 79 Light Intensity of a contact exposure system 2006/4/

41 Exposure Light Source Should have : Short wavelength High intensity Stability Includes : High-pressure mercury lamp Excimer laser 2006/4/10 81 Spectrum of the Mercury Lamp Intensity (a.u) Deep UV (<260) I-line (365) H-line (405) G-line (436) Wavelength (nm) 2006/4/

42 Photolithography Light Sources Name Wavelength (nm) Application feature size ( m) G-line Mercury Lamp H-line 405 I-line to 0.25 XeF 351 XeCl 308 Excimer Laser KrF (DUV) to 0.15 ArF to 0.13 Fluorine Laser F to /4/10 83 Exposure Control Exposure light flux is controlled by production of light intensity and exposure time Very similar to the exposure of a camera Intensity controlled by electrical power Adjustable light intensity Routine light intensity calibration is required. Intensity, I, measured in mw/cm /4/

43 Standing Wave Effect Interference of the incident and reflection lights Due to constructive and destructive interference at different depth Periodically overexposure and underexposure Affects photolithography resolution. 2006/4/10 85 Standing Wave Intensity Light Intensity Average Intensity Constructive Interference, Overexpose Destructive Interference, Underexpose Surface the of PR /n PR Surface of the substrate 2006/4/

44 Standing Wave Effect on Photoresist /n PR Photoresist Substrate Overexposure Underexposure 2006/4/10 87 An Pattern Example resulting from Standing Wave Effect 2006/4/

45 Post Exposure Bake (PEB) Photoresist s glass transition temperature, T g Baking temperature is higher than T g Induce thermal movement of photoresist molecules Rearrangement of the overexposed and underexposed PR molecules Average out standing wave effect, Smooth PR sidewall and improve resolution 2006/4/10 89 PEB (cont.) For DUV chemical amplified photoresist, PEB provides the heat needed for acid diffusion and amplification. After the PEB process, the images of the exposed areas appear on the photoresist, due to the significant chemical change after the acid amplification 2006/4/

46 Post Exposure Bake Steps PEB normally uses hot plate at 110 to 130 C for about 1 minute. For the same kind of PR, PEB usually requires a higher temperature than soft bake. Insufficient PEB will not completely eliminate the standing wave pattern, Overbaking will cause polymerization and affects photoresist development 2006/4/10 91 Development Developer solvent dissolves the softened part of photoresist Transfer the pattern from mask or reticle to photoresist Three basic steps: Development Rinse Dry 2006/4/

47 Development: Immersion Develop Rinse Spin Dry 2006/4/10 93 Development to make etch or implantation perfect Mask PR Film Substrate PR Coating PR Film Substrate Exposure PR Substrate Etching Film PR Film Substrate Development 2006/4/

48 Development Profiles PR PR Substrate Normal Development Substrate Incomplete Development PR Substrate Under Development PR Substrate Over Development 2006/4/10 95 Developer Solutions Positive PR Negative PR Developer TMAH Xylene Rinse DI Water n-butylacetate 2006/4/

49 Hard Bake Evaporating all solvents in PR Improving etch and implantation resistance Improve PR adhesion with surface Polymerize and stabilize photoresist PR flow to fill pinhole 2006/4/10 97 PR Pinhole Fill by Thermal Flow Pinhole PR PR Substrate Substrate 2006/4/

50 Hard Bake (cont.) Hot plate is commonly used Can be performed in a oven after inspection Hard bake temperature: 100 to 130 C Baking time is about 1 to 2 minutes Hard bake temperature normally is higher than the soft bake temperature for the same kind of photoresist 2006/4/10 99 Improper Hard Bake Under-bake Photoresist is not filly polymerized High photoresist etch rate Poor adhesion Over-baking PR flow and bad resolution 2006/4/

51 Photoresist Flow Over-baking can causes too much PR flow, which affects photolithography resolution. PR Substrate Normal Baking PR Substrate Over Baking 2006/4/ Pattern Inspection Inspection, stripped PR and rework Photoresist pattern is temporary Etch or ion implantation pattern is permanent. Photolithography process can rework Can t rework after etch or implantation. Scanning electron microscope (SEM) for small feature size (< 0.5 um) Optical microscope for large feature size 2006/4/

52 Q & A Why can t optical microscope be used for the 0.25 m feature inspection? Because the feature size (0.25 m = 2500 Å) is smaller than the wavelength of the visible light, which is from 3900 Å (violet) to 7500 Å (red) /4/ Pattern Inspection Overlay or alignment run-out, run-in, reticle rotation, wafer rotation, misplacement in X-direction, and misplacement in Y-direction Critical dimension (CD) loss Surface irregularities such as scratches, pin holes, stains, contamination, etc. 2006/4/

53 Misalignment Cases Run-out Run-in Reticle rotation Wafer rotation Misplacement in x-direction Misplacement in y-direction 2006/4/ Critical Dimension PR Substrate PR Substrate PR Substrate Good CD CD Loss Sloped Edge 2006/4/

54 SEM images of well-developed PR lines 2006/4/ Future Trends Smaller feature size Higher resolution Reducing wavelength Phase-shift mask 2006/4/

55 Optical Lithography Optics Light diffraction Resolution (R) Depth of focus (DOF) 2006/4/ Light Diffraction Without Lens Diffracted light Mask Intensity of the projected light 2006/4/

56 Diffraction Reduction Short wavelength waves have less diffraction Optical lens can collect diffracted light and enhance the image 2006/4/ Light Diffraction With Lens Strayed refracted light D Mask Lens Diffracted light collected by the lens r o Less diffraction after focused by the lens Ideal light Intensity pattern 2006/4/

57 Numerical Aperture NA is the ability of a lens to collect diffracted light NA = 2 r 0 / D r 0 : radius of the lens D : the distance of the object from the lens Lens with larger NA can capture higher order of diffracted light and generate sharper image. 2006/4/ (Optical) Resolution The achievable, repeatable minimum feature size Determined by the wavelength of the light and the numerical aperture of the system. The resolution can be expressed as K R 1 NA K 1 : the system constant, is the wavelength of the light, NA = 2 r o /D, the numerical aperture 2006/4/

58 Exercise 1, let K 1 = 0.6, use R K 1 NA R G-line 436 nm 0.60 m I-line 365 nm 0.60 m DUV 248 nm 0.60 m 193 nm 0.60 m 2006/4/ Increase NA To Improve Resolution Larger lens, could be too expensive and unpractical Reduce DOF and cause fabrication difficulties Reduce wavelength Need to develop light source, PR and equipment Limitation for reducing wavelength From UV to DUV, to EUV, and to X-Ray Reduce K 1 Phase shift mask (PSM) 2006/4/

59 Wavelength and Frequency of Electromagnetic Wave Visible RF MW IR UV X-ray -ray f (Hz) (meter) RF: Radio frequency; MW: Microwave; IR: infrared; and UV: ultraviolet 2006/4/ Depth of focus The range that light is in focus and can achieve good resolution of projected image Depth of focus can be expressed as: DOF K 2 2 2( NA) 2006/4/

60 Depth of Focus DOF K ( NA) Focus 2006/4/ Depth of Focus Smaller numerical aperture, larger DOF Disposable cameras with very small lenses Almost everything is in focus But, with bad resolution Prefer to reduce wavelength than increase NA to improve resolution Higher resolution, smaller DOF Focus at the middle plane of PR layer 2006/4/

61 Focus on the Mid-Plain to Optimize the Resolution Center of focus Depth of focus Photoresist Substrate 2006/4/ Surface Planarization Requirement Higher resolution requires Shorter Larger NA. Both reduces DOF Wafer surface must be highly planarized. That s why CMP is significantly required for 0.25 m feature patterning. 2006/4/

62 I-line and DUV Mercury i-line, 365 nm Commonly used in 0.35 m lithography DUV KrF excimer laser, 248 nm 0.25 m, 0.18 m and 0.13 m lithography ArF excimer laser,193 nm Application: < 0.13 m F 2 excimer laser 157 nm Still in R&D, < 0.10 m application 2006/4/ Silica and DUV SiO 2 strongly absorbs UV when < 180 nm Silica lenses and masks can t be used 157 nm F 2 laser photolithography Fused silica with low OH concentration, fluorine doped silica, and calcium fluoride (CaF 2 ), With phase-shift mask, even m is possible Further delay next generation lithography 2006/4/

63 Phase Shift Mask Pellicle Chrome pattern Phase shift coating d n f Quartz substrate d(n f 1) = /2 n f : Refractive index of phase shift coating 2006/4/ Phase Shift Mask Pellicle Chrome pattern Phase-shifting etch d n g Quartz substrate d(n g 1) = /2 n g : refractive index of the quartz substrate 2006/4/

64 Phase Shift Mask Patterning Normal Mask Phase Shift Mask Constructive Interference Phase shift coating Total Light Intensity Total Light Intensity Destructive Interference Substrate PR Substrate PR Final Pattern Final Pattern Substrate Designed Pattern PR Substrate Designed Pattern PR 2006/4/ Future Trends Feature Size (um) Photolithography Year Maybe photolithography Next Generation Lithography /4/

65 Next Generation Lithography (NGL) Extreme UV (EUV) lithography X-Ray lithography Maskless lithography - electron beam or ion beam Immersion lithography 2006/4/ EUV = 10 to 14 nm Short wavelength and reduced NA Mirror basis due to strong absorption at short wavelength Use a mask with Pd/C and Mo/Si multilayer coatings For 0.1 m technology and beyond Still in development (support from Intel) 2006/4/

66 EUV Lithography Mask Mirror 2 Mirror 1 Wafer 2006/4/ X-ray lithography Similar to proximity printer Difficult to find pure X-ray source (synchrotron radiation facility) Challenge on mask making (1:1) Very expensive! unlikely will be used in production 2006/4/

67 X-ray Printing Beryllium X-ray Gold Photoresist Substrate 2006/4/ Optical Mask and X-ray Mask Glass Gold Beryllium Chromium Aspect ratio < 1:5 Aspect ratio > 1:1 Photo Mask X-ray Mask 2006/4/

68 E-Beam Used for making mask and reticles Smallest geometry achieved : m Direct print possible, no mask is required Low throughput Scattering exposure system (SCALPEL) looks promising Tool development Reticle making Resist development Very similar to stepper lithography 2006/4/ Electron Beam Lithography System Electron Gun Lens Blanking Plate Lens Stigmator Deflection Coils Lens Wafer 2006/4/

69 SCALPEL 2006/4/ Ion Beam Lithography Can achieve higher resolution Direct writing and projection resist exposing Direct ion implantation and ion beam sputtering patterned etch, save some process steps Serial writing, low throughput Unlikely will be used in the mass production Appropriate for mask and reticle repairing IC device defect detection and repairing 2006/4/

70 Immersion Lithography Fill DI water between light source and wafer Reach higher DOF K DOF w 2 2( NA) Kw : refractive index of water (1.43) Applied in 193 nm or 248 nm systems Likely to push further to 90 or beyond if refractive index increased TSMC has proved good results in 90 nm product with ASML 2006/4/ Immersion Lithography Technology From Mar 2004, Vol 5 Issue 3, Semiconductor Manufacturing 2006/4/

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1 Dale E. Ewbank dale.ewbank@rit.edu ul012014.ppt 2014 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

AZ 1512 RESIST PHOTOLITHOGRAPHY

AZ 1512 RESIST PHOTOLITHOGRAPHY AZ 1512 RESIST PHOTOLITHOGRAPHY STANDARD OPERATIONAL PROCEDURE Faculty Supervisor: Prof. R. Bruce Darling Students: Katherine Lugo Danling Wang Department of Electrical Engineering Spring, 2009 TABLE OF

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch Microlithography Geometry Trends Master Patterns: Mask technology Pattern Transfer: Mask Aligner technology Wafer Transfer Media: Photo resist technology mask blank: transparent, mechanically rigid masking

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Photolithography Module

Photolithography Module Electronics Workforce Development System Photolithography Module Introduction Photolithography Module This module will teach students the different types of microlithographic systems being used today,

More information

A review on contemporary practices in Lithography

A review on contemporary practices in Lithography IOSR Journal of Applied Chemistry (IOSR-JAC) e-issn: 2278-5736.Volume 7, Issue 4 Ver. II. (Apr. 2014), PP 27-31 A review on contemporary practices in Lithography Perna Kishor Krishna, Mantha Anil Srimanth,

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H. Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process R. P. Rocha, J. P. Carmo, and J. H. Correia Department of Industrial Electronics, University of Minho, Campus

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

2 Integrated Circuit Manufacturing:

2 Integrated Circuit Manufacturing: 2 Integrated Circuit Manufacturing: A Technology Resource 2 IC MANUFACTURING TECHNOLOGIES While the integrated circuit drives the packaging and assembly, the IC manufacturing process, and associated methodologies,

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

(ksaligner & quintel resolution)

(ksaligner & quintel resolution) Process [4.10] (ksaligner & quintel resolution) 1.0 Process Summary 1.1 Since Karl Suss ksaligner is heavily used and Quintel aligner is not, nanolab decided to compare the 2 micron line resolution from

More information

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES

CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES By Rajesh Joshi A thesis submitted in fulfillment of the requirement for the degree of

More information

The Development of Device Lithography

The Development of Device Lithography 5 66 PROCEEDINGS OF THE IEEE, VOL. 71, NO. 5, MAY 1983 The Development of Device Lithography DONALD R. HERRIOT", SENIOR MEMBER, IEEE Invited Paper Abstmet-Lithography has been the principal pacing element

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

MICROLITHOGRAPHY 2004

MICROLITHOGRAPHY 2004 MICROLITHOGRAPHY 2004 From Computer Aided Design (CAD) to Patterned Substrate At the CNF, a number of different options exist for producing a patterned substrate, but deciding which option is best for

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information