Chapter 2 Silicon Planar Processing and Photolithography

Size: px
Start display at page:

Download "Chapter 2 Silicon Planar Processing and Photolithography"

Transcription

1 Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing, which involves the fabrication of fine structures on semiconductor wafers via a sequence of steps, layer-by-layer. What began as silicon microfabrication has now developed into nanofabrication: As shown in Fig. 2.1, feature sizes of silicon ICs reached the nanoscale over a decade ago, propelled by Moore s Law 1 or the continued exponential increase in the number of transistors per IC over the past 50 years. 2 Virtually all the electronics technology in use today (digital and/or analog) is built upon silicon ICs created using planar processing methods, as described in this chapter. The main steps used to create and process a silicon wafer for IC fabrication are listed below 1. Crystal growth and wafer formation. 2. Patterning. 3. Dopant deposition. 4. Dielectric formation. 5. Etching. 6. Metal deposition. 7. Formation of interconnect layers. 8. Packaging and testing. Steps 2 6 create the various device structures on a semiconductor wafer surface and are collectively referred to as the front-end processing of an integrated circuit, whereas the interconnection of the various components to form a functional electric circuit in step 7 is known as the back-end processing and consists of several levels (e.g., up to 10 or more) of metallic wiring in modern ICs. We can immediately see 1 For the genesis of Moore s Law see G.E. Moore, Electronics 38, 114 (1965). 2 At present silicon electronics can contain upwards of 1 billion transistors per IC or chip. The Author(s) 2016 C. Papadopoulos, Nanofabrication, SpringerBriefs in Materials, DOI / _2 7

2 8 2 Silicon Planar Processing and Photolithography Fig. 2.1 Minimum feature size (nominal) of integrated circuits versus year of commercial production (Intel Corp.) the hybrid nature of the IC fabrication process where bottom-up or additive approaches (steps 1, 3, 4, 6 and 7) are combined with top-down or subtractive methods (steps 2 and 5). 3 The order of steps 2 7 may vary somewhat depending on the particular IC devices and functions but the overall approach and process flow is very well-established Photolithographic Patterning From a nanofabrication standpoint, once a single crystal cylindrical ingot of silicon is grown and cut into circular wafers (roughly 12 inches in diameter and 0.5 mm thick at present and most often covered with a sub-micron layer of silicon dioxide or other dielectrics), the principal patterning step (2) that generates nanoscale features in the lateral dimension is photolithography. 5 Building upon earlier photoengraving and chemical etching techniques used for printed circuit boards, photolithography employs a photosensitive polymer, or photoresist, 6 which is exposed to light that has traveled through a predefined mask or reticle, and subsequently developed to allow very fine features to be printed across the surface of a wafer, thus defining the patterns that result in the very high density of devices in an integrated circuit. The chemical and physical properties of the photoresist itself must meet stringent requirements in order to produce the required patterns with sufficient yields, such as optical absorbance at the required exposure wavelength, etch resistance of unexposed regions, photochemical sensitivity, etc., and many 3 Steps 2 7 are essentially applied in a parallel manner across the surface of a wafer, which leads to a roughly constant manufacturing cost per unit area regardless of the number of components created from individual discrete devices to chips containing billions of devices. 4 Multiple planarization polishing steps are also included at various points during processing. 5 The term lithography originates from the traditional stone printing surfaces used for writing/transferring patterns and thus lithos the Greek word for stone. 6 Also known simply as resist.

3 2.1 Photolithographic Patterning 9 different resists have been developed and improved over time in order to meet the needs of IC manufacturing technology. 7 The schema in Fig. 2.2 outlines the overall photolithographic patterning process flow: Wafers are first spin-coated (a.k.a. spin-casting) with a thin layer of (positive or negative) photoresist solution that is subsequently baked or cured into a thin solid film (Fig. 2.2a). The resist layer is then exposed to light (Fig. 2.2b) that is a focused optical projection of the pattern contained in a mask (typically a glass/quartz substrate coated with a patterned metal film, e.g., chromium). The regions exposed to light (or their complement if a negative resist is used) are then removed chemically or dissolved using an appropriate solvent (i.e., developer solution) and thus the desired pattern is printed (Fig. 2.2c). At this point the photoresist pattern is typically transferred into the underlying wafer substrate wherein further processing occurs (see Sect. 2.2) before finally being stripped away or removed completely once it is no longer needed. This type of photolithographic patterning step is repeated several times for the various devices and levels of an IC. The resolution of lens-based optical lithography described above is subject to diffraction (analogous to an optical microscope), i.e., the spatial spreading of light when it is constrained to small dimensions compared to its wavelength. The minimum feature size or resolution, R min, is given by the so-called Rayleigh equation 8 k R min ¼ k R NA ; ð2:1þ where λ is the wavelength of light illumination, NA is the numerical aperture 9 of the optical imaging system, and k R is a coefficient whose value is typically between 0.25 and 0.8, which depends on the particular optics, mask and photoresist technology used. The wavelength dependence on resolution arises from a Fourier analysis (in the spatial domain) of a finite width light beam, which leads to an uncertainty relation between wavevector and the extent of beam confinement that ultimately results in diffraction (more confinement causes a larger dispersion in wavevector and thus more beam spreading). Since wavevector is inversely proportional to wavelength, larger magnitude wavevectors (i.e., shorter wavelength lightwaves) are less affected by diffraction effects or, mathematically, we can say that beam spreading can only be ignored if λ/w 1, where w is the width of the beam. According to Eq. (2.1), reducing wavelength is one way to define finer features and today 193-nm photolithography (deep UV) is standard in industry for creating the highest density structures on silicon ICs. The illumination can also be optimized to achieve better resolution via off-axis illumination (OAI), which improves the 7 See, e.g., G.M. Wallraff, W.D. Hinsberg, Chem. Rev. 99, 1801 (1999). 8 Lord Rayleigh, Phil. Mag. S. 5 8, 261 (1879). 9 The numerical aperture is defined as n sin h, where n is the incident refractive index and h is the angular aperture of the lens or the half-angle of the maximum light cone that can be collected.

4 10 2 Silicon Planar Processing and Photolithography Fig. 2.2 Patterning via projection photolithography. a Photoresist application. Note that in general optical anti-reflection coatings can also be added to the top (TARC) and bottom (BARC) of the resist film in order to improve imaging contrast. b The mask pattern (top) is focused via optical lenses onto the semiconductor wafer in order to expose the photoresist layer. Process is repeated over the entire wafer by stepping its location underneath the mask, which is then typically scanned over each exposure field (step and scan process). c Photoresist is developed in order to reveal mask pattern (positive resist shown) (a) (b) (c)

5 2.1 Photolithographic Patterning 11 sharpness of the projected mask image by allowing the capture of some light that would otherwise be lost via diffraction with normal incidence illumination (the angle of the incident light away from the normal essentially redirects one side of the diffracted beam back into the imaging system). Illumination enhancements such as OAI must be designed in unison with the particular mask pattern. In addition to the light source, photolithographic resolution can also be improved by directly modifying the photomask material itself. In particular, phase-shift masks (PSMs) allow sharper features to be defined by modifying the optical path length of light as it travels through the mask via the addition (or removal) of material in order to produce destructive interference of light waves for adjacent patterns through a 180 difference in phase (see Fig. 2.3). Optical proximity correction (OPC) is another photomask modification technique that involves changing the mask pattern from the ideal or desired layout to one that tries to compensate for the various optical limits on resolution to produce a final printed image that is as close as possible to the ideal one. OPC typically either involves applying certain design rules to the mask design or an iterative mask optimization process involving multiple computational simulations. More recent innovations include: (i) Immersion lithography, which results in an effective reduction in photolithographic wavelength by placing the mask projection system within a fluid with larger refractive index than air; and (ii) Multiple patterning, which employs 2 or more offset mask exposure processes per layer in order to create a higher density of patterns. These developments and improvements in state-of-the-art photolithography approaches have resulted in IC feature sizes that are now approaching 10 nm. Lastly, we mention photolithography based on 13.5 nm radiation (Extreme UV) incorporating reflective optics has been under development for several years and could be in place for electronics manufacturing within 5 10 years as minimum feature sizes likely dip well below 10 nm. Fig. 2.3 Comparison between a standard (or binary) photomask and phase-shift mask. The destructive interference of light waves for adjacent features in the phase-shift mask results in sharper images

6 12 2 Silicon Planar Processing and Photolithography 2.2 Thin Film Deposition Once the mask pattern has been printed into the photoresist layer, the subsequent semiconductor processing steps involve either etching away exposed layers (in the simplest case, a selective wet chemical etch can be used but more typically a dry chemical etch process such as reactive ion-etching (RIE) results in better control for high-resolution features), or adding material such as dopant atoms 10 selectively on the exposed Si regions to create the device structures and various junctions required for the IC. Thin film deposition is a general term used to describe the growth (typically in the vertical dimension or perpendicular to the wafer surface, although non-planar conformal coating is also possible), usually from a vapor or liquid phase, of thin (down to a nanometer or less) two-dimensional layers of material. Thin film growth is combined with photolithographic patterning to create the various layers (insulating, semiconducting, metallic) that are necessary for the isolation, operation and interconnection of the various devices on an integrated circuit. Several thin film deposition techniques are available depending on material/process requirements, which can be broadly classified as either chemical vapor deposition (CVD) or physical vapor deposition (PVD). 11 In CVD, a gas or vapor reacts at the surface of a substrate to form a thin film. Thermal oxidation of silicon wafers via exposure to oxygen gas (or water vapor) at elevated temperatures in a furnace is one very commonly used example of a CVD technique for IC electronics and discrete devices. It is also possible to have similar thin film growth processes occur from the liquid phase, with the most important for planar processing coming in the form of electrochemical deposition of copper for the metallic interconnects/electrodes in modern ICs, which replaced aluminum for most applications over a decade ago. In PVD on the other hand, there is generally no chemical reaction and the thin film is directly deposited by vaporizing solid source material which condenses on the substrate. This typically involves heating a crucible containing the material to be deposited via resistive methods (current flow) or direct heating by exposure to a focused electron beam. 12 Sputter deposition (or simply sputtering) on the other hand, employs ions such as from a plasma to strike a target surface in order to release or sputter material onto the desired substrate. The appropriate PVD method for a specific application will usually be dictated by the properties of the material(s) to be deposited, film thickness/quality and required deposition rate. Epitaxy is a special type of thin film deposition whereby atoms self-assemble on an existing crystal substrate into a crystalline film, atomic layer-by-atomic layer. 10 Dopants are usually added from the vapor phase using either a thermal diffusion process, or electric field-assisted ion-implantation for more accurate placement near the semiconductor surface. 11 Most types of thin film deposition from the vapor phase occur inside an enclosed chamber that is either under vacuum or filled with appropriate gas species. 12 See Chap. 3 for details on electron beams; formation and focusing.

7 2.2 Thin Film Deposition nm Fig. 2.4 Integrated circuit cross-section showing 8 copper interconnect levels on top of silicon wafer. Inset shows individual transistor structure at wafer surface (45 nm process) (source Intel Corp.) An epitaxial film can be grown via either CVD or PVD processes but requires an underlying single crystal substrate to act as the seed or template in order for epitaxy to occur. The quantum wells shown in Fig. 1.2 of the previous chapter are one very common application of epitaxial growth. Quantum wells are widely used in optoelectronics, particularly semiconductor lasers. Epitaxy is also very important for modern electronics with state-of-the-art IC transistors employing epitaxial growth of SiGe alloy contacts in order to introduce strain at the silicon wafer surface, which leads to improved device performance. IC technology based on different types of epitaxial semiconductor thin films grown on top of silicon is also under development in order to further enhance device properties and allow scaling or Moore s Law to continue in the future. The example in Fig. 2.4 displays a cross-section transmission electron microscope image of an IC created using the planar processing sequence(s) described above. Zooming in on the surface of the wafer shows the individual nanoscale device (and interconnect) structures that are possible via this nanofabrication technology. 2.3 Summary Silicon planar processing has been refined to a degree perhaps unmatched by any other technology in history. The ability to combine billions of nanoscale devices monolithically onto a single piece of semiconductor has largely been enabled by advances in photolithographic patterning. The great growth and success of electronics (and the resulting information technology) based on fabrication via planar processing has evolved over the last 50 years both in terms of complexity (leading to tremendous performance gains, e.g., in computational/information processing

8 14 2 Silicon Planar Processing and Photolithography power and memory density) and also cost: A well-equipped modern semiconductor fabrication facility (or fab) typically requires on the order of several billion USD investment, 13 and the industry is now consolidated into a select few leading manufacturers and foundries that can be contracted to produce ICs for various customers. Even for small volume production or research, high-resolution projection lithography tools and the ancillary fabrication equipment will run into the tens of millions of dollars. References 1. D.P. Sanders, Chem. Rev. 110, 321 (2010) 2. J.D. Plummer, M.D. Deal, P.B. Griffin, Silicon VLSI Technology (Prentice Hall, New Jersey, 2000) 3. S.A. Campbell, The Science and Engineering of Microelectronic Fabrication, 2nd edn. (Oxford University Press, New York, 2001) 4. International Technology Roadmap for Semiconductors, ; 13 This will depend on the particular fabrication technology node at present 14 nm, with 10 nm next on the horizon.

9

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

Notes. (Subject Code: 7EC5)

Notes. (Subject Code: 7EC5) COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes VLSI DESIGN NOTES (Subject Code: 7EC5) Prepared By: MANVENDRA SINGH Class: B. Tech. IV Year, VII

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

2 Integrated Circuit Manufacturing:

2 Integrated Circuit Manufacturing: 2 Integrated Circuit Manufacturing: A Technology Resource 2 IC MANUFACTURING TECHNOLOGIES While the integrated circuit drives the packaging and assembly, the IC manufacturing process, and associated methodologies,

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Micro-Nanofabrication

Micro-Nanofabrication Zheng Cui Micro-Nanofabrication TECHNOLOGIES AND APPLICATIONS ^f**"?* ö Springer Higher Education Press -T O Table of Content Preface About the Author Chapter 1 Introduction 1 1.1 Micro-nanotechnologies

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Super-resolution imaging through a planar silver layer

Super-resolution imaging through a planar silver layer Super-resolution imaging through a planar silver layer David O. S. Melville and Richard J. Blaikie MacDiarmid Institute for Advanced Materials and Nanotechnology, Department of Electrical and Computer

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors Broad-Area Lasers with Dry-Etched Mirrors 31 Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors Franz Eberhard and Eckard Deichsel Using reactive ion-beam etching (RIBE) we have

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH AC 2011-1595: EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH Shawn Wagoner, Binghamton University Director, Nanofabrication Labatory at Binghamton University,

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

INTEGRATED CIRCUIT ENGINEERING

INTEGRATED CIRCUIT ENGINEERING INTEGRATED CIRCUIT ENGINEERING Basic Technology By the Stoff of Integraied Circuit Engineering Corporation, Phoenix, Arizona GLEN R. MADLAND ROBERT L. PRITCHARD HOWARD K. DICKEN FRANK H. BOWER ROBERT D.

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology 15-398 Introduction to Nanotechnology Nanoscale Lithography Seth Copen Goldstein Seth@cs.cmu.Edu CMU Pushing The Limits of Photolithography Reduce wavelength (λ) Use Reducing Lens Increase Numerical Aperture

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B. Silicon VLSI Technology Fundamentals, ractice, and Modeling Class otes For Instructors J. D. lummer, M. D. Deal and. B. Griffin These notes are intended to be used for lectures based on the above text.

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking Easley et al. Toner Transfer Masking Page -1- B816575K_supplementary_revd.doc December 3, 2008 Supplementary Information for Rapid and inexpensive fabrication of polymeric microfluidic devices via toner

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

Supporting Information. Filter-free image sensor pixels comprising silicon. nanowires with selective color absorption

Supporting Information. Filter-free image sensor pixels comprising silicon. nanowires with selective color absorption Supporting Information Filter-free image sensor pixels comprising silicon nanowires with selective color absorption Hyunsung Park, Yaping Dan,, Kwanyong Seo,, Young J. Yu, Peter K. Duane, Munib Wober,

More information

Processing and Reliability Issues That Impact Design Practice. Overview

Processing and Reliability Issues That Impact Design Practice. Overview Lecture 15 Processing and Reliability Issues That Impact Design Practice Zongjian Chen Zongjian_chen@yahoo.com Copyright 2004 by Zongjian Chen 1 Overview As a maturing industry, semiconductor food chain

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Paola Perez Mentor: Feng Wen PI: Emanuel Tutuc Background One-dimensional semiconducting nanowires

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information