NXE: 3300B qualified to support customer product development

Size: px
Start display at page:

Download "NXE: 3300B qualified to support customer product development"

Transcription

1 ASML s customer magazine 2013 Issue 2 Extending the TWINSCAN NXT platform Computational lithography enables device scaling NXE: 3300B qualified to support customer product development

2 NXE:3300B qualified to support customer product development 8 ACE: a new facility for TWINSCAN refurbishment 10 Extending the TWINSCAN NXT platform 16 Computational lithography enables device scaling images Colofon Editorial Board Lucas van Grinsven, Peter Jenkins Managing Editor Michael Pullen Contributing Editor Saskia Boeije Contributing Writers Rudy Peeters, Alberto Pirati, Carlo Battistella, Remi Pieternella, Gary Zhang and TK Lim Circulation Emily Leung, Michael Pullen, Saskia Boeije For more information, please see: , ASML Holding BV ASML, ASM Lithography, TWINSCAN, PAS 5500, PAS 5000, SA 5200, ATHENA, QUASAR, IRIS, ILIAS, FOCAL, Micralign, Micrascan, 3DAlign, 2DStitching, 3DMetrology, Brion Technologies, LithoServer, LithoGuide, Scattering Bars, LithoCruiser, Tachyon 2.0, Tachyon RDI, Tachyon LMC, Tachyon OPC+, LithoCool, AGILE, ImageTuner, EFESE, Feature Scan, T-ReCS and the ASML logo are trademarks of ASML Holding N.V. or of affiliate companies. The trademarks may be used either alone or in combination with a further product designation. Starlith, AERIAL, and AERIAL II are trademarks of Carl Zeiss. TEL is a trademark of Tokyo Electron Limited. Sun, Sun Microsystems, the Sun Logo, iforce, Solaris, and the Java logo are trademarks or registered trademarks of Sun Microsystems, Inc. in the United States and other countries. Bayon is a trademark of Kureha Chemical Industry Co. Ltd. Nothing in this publication is intended to make representations with regard to whether any trademark is registered or to suggest that any sign other than those mentioned should not be considered to be a trademark of ASML or of any third party. ASML lithography systems are Class 1 laser products. 2

3 ASML Images, 2013 Issue 2 Editor s note Improvements to get you where you need to be By Michael Pullen, Senior Communications Specialist Customer-focused improvements seem to be the theme around ASML this year. While we continue to push forward, making steady progress in developing EUV, our engineers have also been busy coming up with creative solutions to make sure you get the most out of our existing platforms, and in this issue you will read about some of these new developments. This year we launched an extension to the TWINSCAN platform, with the NXT:1970Ci. This new system gives our customers a smooth transition to EUV and provides improvements in productivity, overlay, and focus control. We ve also initiated a pilot project at the ASML Center of Excellence (ACE) in Taiwan, called Refurb Lite, that brings pre-owned TWINSCAN systems to ACE to be refurbished to an agreed specification and shipped with a warranty. With this new offering, manufacturers can mitigate risk and ensure refurbishment of the highest quality. Recently ASML Brion completed a project with engineers from GLOBALFOUNDRIES that was yet another example of the on-going innovation to support the customer s drive to extend Moore s Law. Together they demonstrated an innovative Flexible Mask Optimization (FMO) technology that delivers process window gains above and beyond those possible with standard OPC while also minimizing run time impact. That s not all, with the acquisition of Cymer this year, we were able to combine our extensive experience and knowledge to develop a new EUV source operating mode to deliver higher output power. The new MOPA-pre-pulse operating mode uses two lasers instead of one, which increases the conversion efficiency 2-3 times, enabling output power in line with manufacturing requirements. And the improvements don t end with our tools, the Images Magazine team is looking at new ways for us to improve upon the magazine and bring more value to you, the reader. We would love to hear your ideas, via a reader survey found on page 19 of this issue. Please take a couple minutes and let us know what you think of the magazine. You ll influence the future of Images and also be included in a drawing to win a new Bose SoundLink speaker! Happy reading Mike 3

4 NXE:3300B qualified to customer product deve Performance fit for 10 nm logic and sub 20 nm DRAM By Rudy Peeters, Senior Product Manager EUV, and Alberto Pirati, Senior Product Manager EUV Sources 4

5 ASML Images, 2013 Issue 2 support lopment Abstract The first TWINSCAN NXE:3300B production EUV lithography systems have started shipments. The system has been proven to reach resolutions of 22 nm with large process windows at doses around 15 mj/cm 2. Moreover, in experiments, 9-nm line-space patterns have been printed using spacer-assisted double patterning. In a parallel development, initial results from NXE:3300B sources with MOPA-pre-pulse technology will be able to deliver 70 wph next year, and is upgradeable to 125 wph in Marking another milestone in extreme ultraviolet (EUV) lithography s migration to high-volume manufacturing, ASML has qualified the first of its TWINSCAN NXE:3300B production systems. The NXE:3300B is ASML s third-generation EUV lithography system. Following on from the Alpha Demo Tool (ADT) and TWINSCAN NXE:3100 pre-production system, which have allowed customers to gain valuable EUV experience, the NXE:3300B targets high-volume manufacturing at the 22-nm node and Excellent imaging The NXE:3300B has already been showing excellent performance. The system is specified and qualified for production at 22 nm, and in tests at ASML it achieved this resolution for both dense and isolated lines with exposure latitudes above 16% using conventional illumination. The NXE:3300B can also be switched to offaxis illumination at full productivity. Using this feature, the scanner has achieved 22-nm resolution at 15 mj/cm 2 a 20% dose reduction. 13nm resolution achieved using EUV single exposure beyond. With the first three units qualified, a further eight are in system assembly at ASML s dedicated EUV cleanroom facility in Veldhoven, the Netherlands. Tests by both ASML and customers have shown that the NXE:3300B can actually exceed its imaging specifications. The system has exposed dense lines at resolutions down to 13 nm half pitch, and contact holes down to 17 nm half pitch. This performance isn t limited to simple test patterns, the system also handles real device structures with ease. For example, a customer has used the NXE:3300B to print a 10-nm logic node metal-1 layer in a single exposure and with a large exposure window. A depth of focus of around 120 nm was achieved with hardly any best focus difference between features. Resolution shown on NXE:3300B for dense line spaces, regular and staggered contact holes; all single exposures 14nm HP 14nm HP 18nm HP 19nm HP 13nm HP 13nm HP 17nm HP 18nm HP Dipole30, Dipole45, Quasar 30 (CAR) Large Annular (CAR) Chemically Amplified Resist (CAR) Inpria Resist 5

6 Metal 1 random logic, half pitch=23nm illustrates sweet spot for NA Focus -80nm -60nm -40nm -20nm 0nm EUV Single Exposure ArFi Double Patterning (LELE) NXE:3300B shows single-digit (9 nm HP) patterning capability! using spacer-assisted double patterning (SADP) NXE litho (single expose, 18nm HP) Core etch Spacer dep. Spacer etch 9 nm HP 20nm 40nm 60nm 80nm Usable DoF Current >120nm Usable DoF typical nm Demonstrated 9 nm half-pitch L/S pattern with EUV single SADP flow. Lithob Conditions: - ASML NXE:3300B system - EUVL single expose 18nm HP NA, Dipole-90x illumination - Resist: 50nm EUV J1099 on 20nm BS AL412 UL on stack wafer with Hard mask Source: ASML, IMEC, AMAT (Feb. 13) The key driver for adopting EUV is this ability to image complex patterns at small feature size in a single exposure. And ASML s EUV roadmap supports the industry s plans to shrink feature sizes to below 10 nm with future systems planned with sub-10-nm resolution. However, it is interesting to note that the NXE:3300B has already been used to print sub-10- nm features. ASML and partners have demonstrated a 9-nm half-pitch linespace pattern on the NXE:3300B using a single spacer-assisted doublepatterning flow. This highlights not just the excellent imaging capabilities of the system, but also its strong CDU performance which has also been shown to be better than specified. Reliable performance Of course, resolution and feature size are not the only system parameters that matter. In high-volume manufacturing, overlay performance is critical to ensure high production yields and hence profitability. With multiple systems now integrated, the NXE:3300B is consistently performing beyond specification here as well. Dedicated chuck overlay below 1.4 nm and NXE-immersion matched machine overlay below 3.5 nm have both been achieved on multiple systems. ASML is continuing to explore system enhancements to drive down overlay even further and support customers planned on-product overlay roadmaps. System reliability is also a vital consideration in high-volume manufacturing. During system build-up, over 1 million wafers have been cycled at high scan speeds on the various NXE:3300B tools for integration and reliability testing. Nearing HVM EUV lithography offers manufacturers a single-exposure manufacture with the potential to support feature shrink for a number of generations to come. With the shipping of the first TWINSCAN NXE:3300B systems and the development of the MOPA-pre-pulse source (see box), ASML is driving the technology forward towards high-volume manufacturing at the 22-nm half-pitch node and beyond. 22-nm resolution at 15 mj/cm 2 a 20% dose reduction Full wafer dedicated chuck overlay of <1.4nm X - axis Y - axis NXE-Immersion Matched Machine Overlay of <3.5nm X - axis Y - axis Dedicated chuck Overlay (nm) Lot (1.3,1.3) Matched Machine Overlay [nm] Lot (3.4,3.0) nm 99.7 % x: 1.3 nm nm 99.7 % x: 1.3 nm y: 1.3 nm Wafer XT:1950i reference waferseexy subrecipes 6

7 ASML Images, 2013 Issue 2 New source concept promises 250 W by 2015 With the imaging and overlay performance of ASML s TWINSCAN NXE platform now well established, perhaps the last piece of the jigsaw required before EUV transitions to mass manufacturing is the availability of EUV sources with the power output to support high-volume throughputs. Progress in this area hasn t been as rapid as hoped in the last few years. However, over the past twelve months, ASML and source specialist Cymer have been working together closely to increase synergy and accelerate the development of suitable EUV sources, culminating in ASML s recent acquisition of Cymer. As well as greatly increasing the resources available for source development, this deal enables optimal alignment between Cymer s wealth of expertise in laser technology and ASML s extensive experience in technology integration. As a result, a source operating mode that delivers higher EUV output power has been developed. The current operating mode for laser-produced plasma (LPP) sources involves aiming a single intense pulse from a CO2 laser on to a tin droplet, creating a plasma that emits EUV light at 13.5 nm. By contrast the MOPA-prepulse operating mode uses two laser pulses. The first, low-power pulse heats and flattens the tin droplet, increasing its surface area. Then a second, higherpower pulse is accurately focused onto the pancake-shaped droplet to create the EUV-emitting plasma. This approach increase CO2-to-EUV conversion efficiency by a factor of 2-3 times compared to the current operating mode, therefore enabling output powers in line with high-volume manufacturing requirements. throughput of 125 wafers per hour by 2015 Feasibility proven ASML and Cymer first demonstrated the feasibility of the MOPA-pre-pulse technology on the source design used in the TWINSCAN NXE:3100. These sources were able to produce up to 50 W with a dose control high enough to enable 99.9% die yield. Following this successful verification, the technology has now been implemented in the new source design to be used in the NXE:3300B. Output powers up to 60 W have already been achieved. These results confirm the current source configuration will be capable of delivering 70 wph in 2014 and that, with some already identified improvements, the technology can be extended to support 125 wph in ASML and Cymer have now focused their development resources on the MOPA-pre-pulse technology with the aim of making it available to customers by the end of We have also put in place a roadmap to extend the technology to 250 W and meet chip manufacturers requirements for highvolume manufacturing in This roadmap hinges on: increasing the CO2 drive laser power from 26 to 47 kw improving conversion efficiency from 2.5 to 3.3% increasing the EUV light collector efficiency from 34 to 40% The key components for all three of these enhancements have been experimentally proven, validating the plan to deliver 250 W enough to support a throughput of 125 wafers per hour by Resolution shown on NXE:3300B for dense lines/spaces 13 nm HP single exposure; 9nm HP spacer-assisted double patterning 14nm HP Dipole45, Inpria Dipole30, Chemically Amplified Resist (CAR) 13nm HP 14nm HP 13nm HP (single expose, 18nm HP) Core etch Spacer dep. 9nm HP NXE:3300B enables single exposure random logic Metal1 at large depth of focus 10nm node standard cell (23nm HP), illustrates sweet spot for NA Focus -80nm -60nm -40nm -20nm 0nm 20nm EUV Node: N10 (23nm HP) 1 st insertion point for EUV Single Exposure Best focus difference <10nm Overlapping DoF current nm (expected to improve after optimization (e.g. OPC)) ArF immersion Node: N20 (32nm HP) Double Patterning (design split) Best focus difference up to 40-60nm Overlapping DoF typical 60nm 40nm 60nm 80nm Position in the exposure slit -12mm 0mm +12mm Excellent print performance over the full exposure slit Demonstrated 9 nm half-pitch L/S pattern with EUV single SADP flow 7

8 ACE: a new facility for TWINSCAN refurbishment By Carlo Battistella, Marketing Manager Mature Products and Services Abstract ASML has shipped the first refurbished TWINSCAN system from the ASML Center of Excellence (ACE) in Linkou, Taiwan. Adding TWINSCAN refurbishment to ACE s list of capabilities allows ASML and its customers to get more from this valuable resource and increases ASML capacity for delivering high-quality refurbished 300-mm systems. The More-than-Moore markets such as microcontrollers are a fast growing sector of the semiconductor industry. Often, these markets don t employ the smallest feature sizes. But they do require high-quality, cost-effective lithography solutions typically operating at more mature technology nodes. This is driving increasing demand for pre-owned lithography systems. Preowned systems give manufacturers a route to the lithography capabilities they need at a lower investment, something that is particularly valuable in niche and emerging market sectors. ASML has been active on the pre-owned market for many years, and aims to bring added-value for both buyers and sellers by giving systems a second life. Unlike traditional re-sellers, ASML is able to refurbish pre-owned systems to the highest quality. So manufacturers can mitigate risk by buying a system with an agreed specification supported with a warranty. We can even tailor systems to the specific needs of the purchaser or intended application during refurbishment. The market for refurbished systems has been well established in the 200-mm wafer sector for some time. Now, with more and more manufacturers looking to access the economies of scale that come with larger wafers, demand for refurbished 300-mm systems is also growing. In response and following a successful pilot project ASML is expanding capacity for refurbishing TWINSCAN lithography solutions by carrying out TWINSCAN refurbishments at the ASML Center of Excellence (ACE) in Linkou, Taiwan as well as our headquarters in Veldhoven, the Netherlands. 8

9 ASML Images, 2013 Issue 2 An ACE in the pack Using ACE as a TWINSCAN refurbishment facility was a natural decision. ACE is home to ASML s 200-mm competence center which concentrates all know-how on small-wafer systems into one location and has been handling all our PAS 5500 system refurbishments since In addition to all this refurbishment expertise, ACE also houses our global support center which has a wealth of experience in troubleshooting and extensive knowledge of TWINSCAN systems. This combination of skills and its location make ACE a unique and valuable resource for both ASML and our customers. And by adding TWINSCAN refurbishment to the mix, ACE s activities are evolving to reflect ASML s current product portfolio so that the facility continues to deliver the best possible service. However, our PAS 5500 and TWINSCAN systems are very different, so preparing ACE for TWINSCAN refurbishment is a significant undertaking. Naturally, this includes ensuring the right people have the right skills and know-how to carry out the refurbishments. But it also means making sure the facilities themselves are ready right down to checking the doors on the shipping bays were wide enough for the physically larger TWINSCAN systems. Full refurbishment requires a much more extensive technical infrastructure, and so the ACE pilot was planned for a Refurb Lite project. In the pilot, ACE refurbished a TWINSCAN XT:1250, which was then shipped to a customer who plans to use the system for MRAM production. Expanding capacity for refurbishing TWINSCAN lithography solutions Ready for action Following the success of the pilot, ASML has decided to make TWINSCAN refurbishment a structural part of ACE s activities. ACE will focus solely on Refurb Lite projects, freeing up capacity in Veldhoven, which will focus on full refurbishment activities. The decision will allow ASML to increase the availability of high-quality pre-owned 300-mm systems. A number of customers have already visited ACE to see the TWINSCAN and PAS5500 refurbishment facilities for themselves, and have been universally impressed with the level of maturity of the activities there. I very much enjoyed my visit to ACE. The people were very friendly and helpful, and answered all my questions. It s really amazing the effort you take to refurbish machines back to factory acceptance test levels. And the food in the canteen was delicious! said one visitor. The next TWINSCAN refurbishment project and customer for ACE are already in the pipeline, with more projects planned for the future. Initially, ACE will look to refurbish two TWINSCAN systems per year with the option to increase these activities as demand requires Pilot proves successful Once all the preparations were complete, TWINSCAN refurbishment activities at ACE kicked off with a pilot project. ASML offers two levels of refurbishment: full refurbishment back to ATP specification with a one-year warranty, and Refurb Lite, where the system is refurbished to an agreed specification and shipped with a six-month warranty. The Linkou team that made it happen...! 9

10 Extending the NXT platform By Remi Pieternella, Senior Product Manager 10

11 ASML Images, 2013 Issue 2 TWINSCAN Abstract ASML is launching a new extension to its TWINSCAN NXT highthroughput, high-precision ArF immersion lithography platform. The TWINSCAN NXT:1970Ci offers a leap forward in overlay and focus control plus improved productivity. It will help manufacturers in all segments enter volume manufacturing at the 1x nodes, with high yields, device performance and profitability. The new system is part of the ongoing TWINSCAN NXT roadmap, giving manufacturers a smooth transition to EUV lithography. 11

12 250 wafers per hour ASML is extending the performance and productivity of its TWINSCAN NXT highthroughput, high-precision ArF immersion lithography platform with the launch of the NXT:1970Ci. Due to start shipping in Q4 2013, the NXT:1970Ci targets profitable volume production at the 1x nodes through multiple-patterning techniques. To make that possible, the new system offers a leap forward in overlay and focus control plus an increased throughput of 250 wafers per hour. Semiconductor industry roadmaps for the next two years demand on-product overlay performance of around 4 nm. To help manufacturers meet this requirement, the NXT:1970Ci delivers Dedicated-Chuck Overall (DCO) of 2.0 nm and matchedmachine overlay (MMO) of 3.5 nm. Reducing overlay PARIS style One of the key developments that helps the NXT:1970Ci reach these stringent overlay specifications is the new multifunction parallel ILIAS (PARIS) sensor. PARIS is an interferometry-based sensor that measures the effects of lens and reticle heating more quickly and accurately than previously possible. For reticle heating effects, where the previous sensor measured just the four corners of the reticle, PARIS measures a total of 14 points. This allows a much better model of the thermal distortion of the reticle to be built up and hence more accurate corrections to be applied using TOP RC 2 (see page 15), which is standard on the NXT:1970Ci. 12

13 ASML Images, 2013 Issue 2 In addition, PARIS can measure lens heating effects across the whole slit simultaneously, making it much faster than previous solutions. As a result, lot correction is eight times quicker and lens heating can even be measured between wafers rather than just between lots. Corrections can then be applied waferby-wafer based on measurements of the actual conditions rather than model-based predictions via FlexWave programmable wavefronts. New multifunctional sensor will push residuals below 1nm Parallel 7 point interferometer allows for accurate higher order corrections 1.8 nm ~0.8 nm 2x7 points capture actual barrel shape Lens correction using scanning lens element Faster & more accurate measurement using Parallel Lens Interferometer (PARIS) Further overlay improvements come from the NXT:1970Ci s enhanced lens design and wafer table. Thanks to an improved manufacturing and smart software model, the new lens have up to 40% fewer aberrations and 50% better lens fingerprint matching. Automatic wafer to wafer lens heating corrections New sensor measures lens heating in between every wafer Meanwhile the wafer table includes a multi-segment heater that ensures a more uniform temperature around the wafer edge reducing the thermal overlay fingerprint. Volume production at the 1x nodes Lens heating causes focus offsets New multifunctional sensor detects lens heating And corrects it with FlexWave On the level Elsewhere, the NXT:1970Ci features a brand-new ultraviolet level sensor (UV-LS), to help improve focus control. Previously, the level sensor used visible light, but this can sometimes penetrate the top layers of the wafer stack leading to small errors in the wafer map. With focus budgets becoming ever tighter as feature sizes decreases, these small errors were starting to become relevant. Switching to a shorter wavelength eliminates the stack penetration, reducing process dependency. Reduced process dependency by leveling with UVLS Higher pitch enables more accurate edge measurement Resist BARC SiO 2 Si Current level sensor Focus beam New level sensor (UV-LS) Focus beam Resist Gate Focus error Contact BARC SiO 2 Gate Contact Si Note: AGILE sensor is used to reduce ASD effect New sensor design greatly reduced influence of underlying stack Increased absorption as a result of shorter wavelength Metal 1 Metal 1 13

14 The new level sensor has been extensively tested on real customer stacks and has been shown to significantly improve both inter- and intra-field leveling. Together with other enhancements, this enables a fullwafer focus uniformity of less than 20 nm. Cutting defectivity Also new on the NXT:1970Ci is a novel immersion hood featuring a carbondioxide gas knife. The gas knife stabilizes the immersion pool meniscus, allowing the system to operate at the full 800 mm/s scan speed right across the wafer while keeping defectivity under tight control. Tests on a wide range of resists show the new immersion hood delivers consistently good performance, with an average of fewer than seven defects per wafer and no big bubbles observed. Looking to the future With its enhanced performance, the NXT:1970Ci targets sub-20-nm feature sizes via multiple patterning techniques. And thanks to an improved wafer stage and handler it also delivers the high throughput required to enable costeffective high-volume production. The NXT:1970Ci is part of ASML s ongoing roadmap to extend the capabilities of the TWINSCAN NXT platform. All existing NXT systems can be upgraded in the field to become a fully specified NXT:1970Ci via system node extension packages. In addition, ASML is planning further NXT extensions in the coming years. The TWINSCAN deep ultraviolet (DUV) roadmap supports multiple patterning requirements to at least 2018, and provides manufacturers with a smooth transition to extreme ultraviolet (EUV) lithography by allowing them mixand-match EUV and multi-patterning immersion ArF according to the precise requirements of the specific layer. Novel immersion hood adopted to support 800 mm/s CO 2 contained meniscus allows for full scan speed throughout the wafer supply extraction CO 2 lens wafer V scan CO 2 IH enables Tight defectivity performance Higher scan speed throughout the wafer NXT:1970Ci specifications Full-wafer dedicated chuck overlay Full-wafer matched machine overlay Full-wafer focus uniformity Full-wafer CDU (isolated features) Full-field throughput (96 shots) 2.5 nm 3.5 nm 20 nm 1.3 nm 250 wph 14

15 ASML Images, 2013 Issue 2 Improving on-product overlay through reticle heating control By TK Lim, Product Manager As feature sizes shrink, On-Product TOP RC 2 is a software product, and Overlay (OPO) requirements become included as standard on the new increasingly tight. With today s complex TWINSCAN NXT:1970Ci. It is also reticle patterns and extreme pupil shapes, available as a factory option and reticle heating effects have become a field upgrade for the NXT:1960Bi and major contributor to OPO performance. NXT:1950Ai systems that are fitted with They typically account for around 25% the 1951 lens. A version for systems with of the OPO budget, but this figure varies the older 1950 lens is in development. greatly depending on the application and, crucially, the position within the lot. The new TOP RC 2 overlay package helps manufacturers address this issue. Like TOP RC 2 Correction Characteristic the previous TOP RC package, it uses Uncorrected measurements of the reticle temperature Corrected with TOP RC Corrected with TOP RC 2 map to model the thermal deformation of the reticle, and then applies corrections for each exposure via the lens and stages. However, where TOP RC applies static corrections to compensate for X = 3.4 Y = 6.5 X = 2.3 Y = nm 5 nm X = 1.4 Y = 1.6 y magnification fingerprints, TOP RC 2 creates scanning corrections to compensate for the barrel-shaped k18 fingerprint to further reduce heating effect. Uncorrected, through lot reticle heating fingerprint magy and k18 fingerprints 18 parameter s correction including k18 15 parameter correction magy corrected. k18 fingerprint persists (Barrel Shape Reticle Heating fingerprint) As a result, TOP RC 2 reduces intrafield overlay fingerprint due to reticle heating by 3.0 nm under ATP conditions. That s a further 1.5 nm improvement compared to the use of just TOP RC. Compared TOP-RC/TOP RC 2 Work Flow to an uncorrected exposure, that could TOP RC controls reticle heating induced overlay in three steps. Same workflow for TOP RC and TOP RC 2 translate to an OPO improvement of around a nanometer. However, the actual improvements depend heavily on the layer, with layers that use low- 1 2 Model the reticle deformation per exposure and determine optimal correction set Measure/Predict the reticle temperature fingerprint through lot transmission reticles and high doses likely Correct via lens and stages WS, RS Correction parameters to see the biggest gains. Indeed, in beta testing at customer sites, the intrafield Feed forward example MY Magnification (ppm) overlay fingerprint due to reticle heating improved by as much as 5 nm. 3 Lens manipulators TOP-RC static correction per exposure Time(s) TOP-RC2 scanning correction per exposure 15

16 Computational lithography enables device scaling By Gary Zhang, Vice President Marketing, ASML Brion Abstract By exploiting the complex interaction between light and matter at deep sub-wavelength scales, computational lithography allows semiconductor manufacturers to achieve high yields at the smallest technology nodes. As a recent project with GLOBALFOUNDRIES shows, ASML Brion s combination of cutting-edge technology and in-depth understanding of customer needs helps chip makers deliver aggressive device scaling roadmaps with cost-effective production. Computational lithography plays a critical role in driving the semiconductor industry forward. The industry s impressive success over the last 40 years has been built on aggressive shrink strategies as described by Moore s Law. But with shrinking device feature sizes, it becomes increasingly hard to fabricate them reliably to ever tighter process margins. Chip makers have widely adopted computational lithography to address this challenge. By optimizing the entire imaging process from illumination source and mask to projection lens and final imaging in resist computational lithography delivers the best possible process window for a given set of design rules. Enabling the largest process windows As part of ASML, we build our Tachyon computational lithography products on a complete set of accurate scanner models to ensure the largest process window and hence the best onproduct performance in production. In addition, our long-term commitment to customers allows us to develop a deeper understanding of their needs and build lasting relationships, becoming their partner and solution provider node after node. The combination of technology know-how and customer intimacy has seen ASML Brion becomes a leader in the world of computational lithography. 140 Customer reguirements for scanner focus control Focus control w/o PWC Focus control w/ PWC Usable DOF w/o PWE Usable DOF w/ PWE Process Window Control Focus monitoring and correction Focus Budget [nm] Hotspot PWC PWE R&D Process Transfer Production Volume Ramp HvM Process Window Enhancement Qualified Not qualified Pattern Matcher Full Chip w/ FlexRay D model (mask, resist, wafer) SMO - FlexRay SMO - FlexWave Flexible mask optimization 2x 1x Optimizing design and imaging hot spots is critical to meet on-product focus requirements Process window enhancement (PWE) and process window control (PWC) are both critical to meet on-product focus requirements at 2x nodes and below. 16

17 ASML Images, 2013 Issue 2 Litho SEM image BD-2mj BD-1mj Best Dose BD+1mj BD+2mj BD-2mj BD-1mj Best Dose BD+1mj BD+2mj BF-60nm BF-60nm BF-30nm BF-30nm Best Focus POR Best Focus SMO BF+30nm BF+30nm BF+60nm BF+60nm DOF: SMO wafer > POR wafer GLOBALFOUNDRIES wafer verification data showing that Tachyon SMO and OPC with 3D mask model eliminated the necking hotspot issue in the 28-nm node metal layer. Brion s Tachyon products utilize all the resolution enhancement techniques to maximize the common process window across the full chip. Meanwhile ASML s process window control solutions keep production closer to the center of the process window over time and from scanner to scanner. Holistic lithography ties these two approaches together. And by doing so, it allows chip manufacturers to make full use of advanced scanner capabilities such as the FlexRay free-form illuminator and FlexWave programmable wavefront. This ability to expand process window and maximize lithography process performance is essential at the 20-nm node and below. The end result is fully optimized lithography processes that offer better performance and higher return on investment. Real-world gains The ability of ASML Brion s combination of cutting-edge technology and customer intimacy to drive shrink was highlighted in a recent project undertaken with GLOBALFOUNDRIES. In this project, engineers from ASML Brion and GLOBALFOUNDRIES deployed a suite of Tachyon computational lithography products to identify and solve manufacturability issues in metal layers for 28-nm node logic designs. At these feature sizes the threedimensional nature of imaging elements such as the mask has a noticeable effect on lithography imaging results and should be incorporated into computational lithography calculations. Hence Tachyon OPC+, an industry-leading solution for optical-proximity correction (OPC), features a 3D model of the mask rather than the 2D mask model common in other OPC tools. This allows Tachyon OPC to accurately correct the manufacturability problems that other products miss. In this case, Tachyon OPC successfully captured a necking hotspot problem (areas of the design that limit the overall process window) that GLOBALFOUNDRIES original 2D model- Resist top loss issue at Metal-1 during 28-nm ramp Significant AEI (post etch) hotspots found on wafer at defocus conditions not found at ADI (post litho) verification or inspection CD SEM Measurements 110 Resist CD 100 Example of a post etch hotspot LMC simulated with R3D SEM image after etch CD (nm) Etch CD 60 Resist 50 Etch Focus (nm) Simulated contour Red - at resist bottom Blue - at resist top R3D model predicts the necking and bridging at resist top Prediction match with etch hot spots Resist top loss is increasingly significant at smaller nodes, but can be successfully predicted, optimized and corrected by incorporating 3D resist models (R3D) into Tachyon SMO and OPC tools. 17

18 Need of compute power for leading edge mask tape-out Tape-out turn-around time (TAT) for 2x/1x nodes needs highly scalable solution 14 Ideal Speedup N40 Metal (Brion): cores N20 Via (Brion): cores 30 Tachyon TAT (hrs) Other solution TAT (hrs) Comparison of Tape-out TAT Tachyon vs. Other solution 12 N28 Poly (Customer A): N28 M1 (Customer B) cores Normalized Speedup Tape- out TAT (hrs) Number of Cores Number of Cores TAT spec Recent example: customer now needs licenses > distributed processing to assure full-mask OPC tape-out times typically within 8 hours Tachyon Flex platform shows excellent scalability against competition in distributed processing over thousands of CPU cores that results in substantial time and cost savings for volume production tapeout. based OPC had failed to describe and correct this issue. ASML Brion showed that the hotspot problem could be solved through a sourcemask optimization that also incorporated 3D mask effects. Together, engineers Greatly expands the process window from the two companies implemented a full chip source-mask optimization work flow based on the powerful Tachyon SMO and OPC tools, which employ the same 3D mask model (M3D). The new work flow allowed GLOBALFOUNDRIES to improve the full chip pattern coverage and expand the common process window including the potential hotspots in the design library. Identifying these design weak spots and including them in the source-mask optimization allowed better results to be achieved more quickly. As a result, this approach delivered a robust OPC solution faster than alternatives, significantly reducing the development cycle. Of course, the mask isn t the only three-dimensional elements used in the exposure step. The Tachyon family also offers the option to include a 3D model of the resist stack in computational lithography calculations. Using Tachyon Lithography Manufacturability Check (LMC) and a 3D resist model, ASML Brion and GLOBALFOUNDRIES found that resist top loss could cause significant post etch hotspots. However, by including the 3D resist model in Tachyon OPC, resist top loss could be controlled thus eliminating post etch hotspots and further expanding the process window. Cost-effective production at the cutting edge In addition to performance, cost is a vital consideration in the highly competitive semiconductor industry. For computational lithography, cost is tied to the resources required to achieve a certain turn-around time. The time it takes to perform the various computational lithography operations increases dramatically as features get smaller and simulations more sophisticated. So the critical question becomes: can you implement solutions that run fast enough to meet your tape-out schedule and support volume production? ASML Brion s Tachyon solutions address this run time challenge in two ways. Firstly, they employ the most efficient models, optimization algorithms and computation work flows. Secondly, they are designed to be massively scalable, maintaining better than 95% productivity per core over thousands of CPUs. Brion has developed a Tachyon Flex TM platform to allow customers to fully utilize their existing computing infrastructure by distributing their computational lithography processing for 2x and 1x nodes over very large numbers of CPU cores to meet their mask tape-out turnaround time requirements. Going further where it matters most ASML Brion has recently introduced an innovative Flexible Mask Optimization (FMO) technology that delivers process window gains above and beyond those possible with standard OPC while minimizing run time impact. To do this, the FMO technology identifies the design weak spots and applies advanced OPC techniques only where they are 18

19 ASML Images, 2013 Issue 2 really needed, to enhance lithography performance in the local areas of the weak spots in the chip design. Engineers from GLOBALFOUNDRIES and ASML Brion have demonstrated the FMO flow in a 20-nm node R&D tape-out for a hotspot Identify and solve manufacturability issues fix and are working together to implement it in 14-nm node production. This is yet another example of how ASML Brion s on-going technical innovation supports semiconductor manufacturers in their drive to extend Moore s Law through costeffective production at the leading edge. In summary, the Brion Tachyon product family enables cost-effective production while delivering the optimal process window, enabling chip manufacturers to continue driving aggressive shrink roadmaps. As a GLOBALFOUNDRIES senior OPC manager puts it: At 28 nm and below it is necessary to explore and realize every possible process window improvement to achieve a manufacturable patterning solution. We have found that Brion s OPC and computational lithography solutions enable us to achieve this goal and ensure the best possible yield for our customers. Participate in our reader survey for a chance to win an Bose SoundLink Mini speaker Flexible Mask Optimization (FMO) enables cost effective full chip implementation of advanced OPC Baseline OPC Fullchip Verification Flexible Mask Optimization (FMO) Advanced OPC Tapeout PW limiter (LMC defects) Local enhancement Outside: No change Inside: Full correction Boundary: transition Before: PV Band = 17 nm After: PV Band = 9.3 nm Tachyon Flexible Mask Optimization (FMO) technology was demonstrated on customer s 20-nm full chip design, delivering maximum process window benefits while reducing mask complexity and shortening turn-around time (TAT). 19

20 Corporate Headquarters De Run DR Veldhoven The Netherlands Phone United States 8555 South River Parkway Tempe, AZ USA Phone Asia Suite th Floor 100 Queen s Road Central Hong Kong, SAR Phone

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

ASML s customer magazine

ASML s customer magazine ASML s customer magazine 211 Winter Edition TWINSCAN NXT extends immersion performance EUV is in customers hands Holistic Litho improves on-product overlay 6 1 24 3 Editor s note 4 ASML in the News 6 More

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUVL Exposure Tools for HVM: It s Under (and About) Control EUVL Exposure Tools for HVM: It s Under (and About) Control Wim van der Zande ASML Director, Research EUV Litho Workshop Amsterdam November 2016 ASML at a EUV Source Workshop Slide 2 The position of EUV

More information

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006 Intel Special Edition 2006 ASML s customer magazine Moving immersion into production Intel and ASML System flexibility through enhancement packages 6 10 14 3 Editor s note images Colofon 4 The value of

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

EUV is progressing towards production

EUV is progressing towards production ASML s customer magazine 211 Summer Edition EUV is progressing towards production NXT:195i makes 22-nm processing possible, cost effective Integrated metrology maximizes on-product performance 4 8 2 3

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM FOCUS DRY AND EXPOSE WET WITH TWINSCAN HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM ASML is committed to providing customers with the right technology at the right time. With

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

EUVL: Challenges to Manufacturing Insertion

EUVL: Challenges to Manufacturing Insertion EUVL: Challenges to Manufacturing Insertion Obert R Wood II International Workshop on EUV Lithography CXRO, LBNL, Berkeley, California 14 June 2017 EUV Critical Issues List EUV Critical Issues, as identified

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

Demonstrating Commitment in the New Veldhoven Demo Lab

Demonstrating Commitment in the New Veldhoven Demo Lab 2006 Fall Edition ASML s customer magazine Demonstrating Commitment in the New Veldhoven Demo Lab TWINSCAN XT:1900Gi Immersion into production 8 12 18 3 Editor s note images Colofon 4 ASML in the news

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information