HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

Size: px
Start display at page:

Download "HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM"

Transcription

1

2 FOCUS DRY AND EXPOSE WET WITH TWINSCAN HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM ASML is committed to providing customers with the right technology at the right time. With increased depth of focus and the promise of extended resolution, the time is right for ASML HydroLith immersion technology. The proven dual stages of the TWINSCAN platform are uniquely suited to provide dry metrology and wet exposure for absolute accuracy and greater process latitude. And HydroLith immersion will enhance process yield while maintaining the highest levels of productivity. Immerse yourself in innovation at Commitment

3 EDITOR S NOTE The Value of Innovation by Mark Bigelow As the lithography innovation leader, ASML drives innovation to deliver value and greater return on investment for our customers. From the early days of Phase Grating Alignment to AERIAL Illumination and more recently in the TWINSCAN dual-stage platform, ASML s commitment to customer requirements has resulted in innovation. In this issue of IMAGES, Manfred Suddendorf presents the new TWINSCAN XT:1400. Because of TWINSCAN s modular system design, this system allows customers to chose between dry or wet lithography with a fi eld or factory implementation of the HydroLith immersion conversion kit. Bob Streefkerk provides an update article on the benefi ts of the TWINSCAN dual-stage platform and the rapid progress in developing immersion technology for production applications. Skip Miller makes an analysis of the value of TWINSCAN innovation as it applies to real world case studies for TWINSCAN productivity, overlay and imaging to provide more good die per day and maximize return on investment. On a more technical note, Hans Bakker provides insight into the array of innovations available to improve focus control for both the PAS 5500 and TWINSCAN platforms, while Peter ten Berge reports on the successful introduction of 3DAlign on PAS Additionally, Dinesh Bettadapur gives a report from ASML MaskTools on the progress of CPL mask technology for implementation at 65-nm advanced design rules. And an article by Dan Bajuk of ASML Optics on the Asphere Advantage demonstrates how aspheres can provide innovative solutions and improve performance for optical design challenges. Francie Lamers describes a bold new initiative called CLASS, designed to raise the bar in customer satisfaction. This program was developed to enable our customers to maximize the value they derive from using ASML systems. Meanwhile Jos Vreeker takes you through the advantages of ASML s Remarketing program to help you gain the maximum return on selling your preowned or surplus systems. These innovations break through conventional barriers to provide reliable performance in manufacturing and the highest performance for imaging, overlay and throughput, resulting in more good die per day and greater return on your investment. Contents 2 ASML in the News 3-5 Quantifying the Value of ASML Innovation 6-7 TWINSCAN XT:1400 For Wet or Dry Lithography 8-13 Immersion Lithography with ASML HydroLith Advances in Focus Control Successful Introduction of Back-side Alignment at Fairchild, Korea CPL Technology: Road to Adoption for 65-nm Lithography ASML's Remarketing Service 26 In a Word...CLASS Innovation in Optical Design Gain the Asphere Advantage IMAGES ASML s CUSTOMER MAGAZINE Editorial Board Dave Chavoustie, Paul van Attekum, Tom McGuire Editor in Chief Mark Bigelow Managing Editor Ryan Young Corporate Editors Jane Mitchell, Emma English Contributing Writers Skip Miller, Manfred Suddendorf, Bob Streefkerk, Hans Bakker, Peter ten Berge, Dinesh Bettadapur, Jos Vreeker, Francie Lamers, Dan Bajuk, Mark Bigelow Circulation Michelle Herrick, Saskia Boeije, Emily Leung 2004, ASML. ASML, ASM Lithography, TWINSCAN, PAS 5500, PAS 5000, SA 5200, ATHENA, QUASAR, HydroLith, IRIS, FOCAL, CPL, DDL, Micralign, Micrascan, 3DAlign, 2DStitching, 3DMetrology, MaskTools, LithoGuide, MaskRigger, MaskWeaver, LithoCruiser, LumenShaper, Ultra-k 1, DoseMapper, SAMOS, ILIAS, PerfectWave, AGILE, LS match2, ModelTuner, CLASS and the ASML logo are trademarks of ASML Holding N.V. or of affi liate companies. The trademarks may be used either alone or in combination with a further product designation. StarLith, AERIAL, AERIAL II, AERIAL E and AIMS are trademarks of Carl Zeiss. Nothing in this publication is intended to make representations with regard to whether any trademark is registered or to suggest that any sign other than those mentioned should not be considered to be a trademark of ASML or of any third party.

4 ASML in the News ASML, Government Institutions and Technology Leaders Join Forces to Promote Trans-Atlantic Innovation and Business January 7, 2004 ASML announced today its participation in High Tech Connections (HTC), a first-of-its-kind initiative to promote technological exchanges and strategic alliances between American and Dutch high technology companies. ASML s role is that of founding member and anchor company in this unique forum that brings together governments, academia, and corporations to drive innovation and identify business and investment opportunities. Member companies, along with the Dutch Ministry of Economic Affairs, the U.S. Ambassador in the Netherlands, the Netherlands Foreign Investment Agency, and the U.S. Commercial Service will inaugurate the HTC at an event on January 11-13, 2004 in San Jose, California. IMEC Extends Collaboration with ASML to Immersion Lithography January 20, 2004 IMEC, Europe s largest independent microelectronics and nanotechnology research center, extends its long-term collaboration with ASML to immersion lithography and will launch an industrial affiliation program (IIAP) on 193-nm liquid immersion lithography that will run in parallel with its 157-nm lithography IIAP. The program will be launched mid-2004 and will include ASML s TWINSCAN XT:1250i tool. Media Advisory: ASML MaskTools and DNP Establish Strategic Alliance February 23, 2004 ASML MaskTools and Dai Nippon Printing (DNP) today announced a strategic alliance on CPL Technology. A single-mask, single-exposure resolution enhancement technique, CPL Technology is designed to increase the productivity of lithography processes by as much as 40 percent as compared with competing technologies while enhancing cost effectiveness and resolution capability. This new agreement extends industry support for CPL Technology and builds additional momentum for its adoption. Media Advisory: ASML Optics PerfectWave Selected by REO February 24, 2004 ASML Optics announced that Research Electro- Optics (REO), Boulder, Colorado, has purchased its PerfectWave metrology calibration standard, a reference that enables atomic-level measurements. REO, an industry-leading supplier of laser optics, optical components, optical sub-assemblies, and thin-film coatings, selected PerfectWave to extend and improve the accuracy of its advanced metrology systems based on the expertise of ASML Optics. Chartered 300-mm Fab Selects ASML for Multi- System Tool Purchase March 25, 2004 ASML announced that it won an order for a suite of lithography tools from Chartered Semiconductor Manufacturing, one of the world s top three dedicated semiconductor foundries, headquartered in Singapore. This multi-system sale further expands ASML s installed base in Asia and secures ASML s place as the leading provider of 300-mm equipment with systems in 20 of the world s mm fabs. ASML Announces TWINSCAN XT:1400 for Dry or Wet Lithography April 20, 2004 ASML introduced the newest member of its TWINSCAN platform, the TWINSCAN XT:1400. The system is a 0.93-NA, 193-nm scanner that images at the 65-nm node in volume production environments. The XT:1400 can also be used for preproduction testing and development at the 45-nm node. The first shipments are slated for December For complete information regarding these press announcements, please refer to the press section of 42 ASML Images Summer 2004

5 value of innovation Quantifying the Value of ASML Innovation by Skip Miller A key financial metric in the IC industry has traditionally been the cost of ownership, which is defined as the cost of operation divided by the wafers output per unit time. Cost of Ownership (CoO) is typically expressed as a cost per layer or cost per wafer pass through a lithography system. Although this is a critical measure, it captures only a portion of the total value picture for IC manufacturers. To analyze using CoO alone is to overlook the bottom-line effect of improvements brought about by advances in technological innovation that enable an increase in yield (good die per wafer), functionality (transistors per die or speed) and more aggressive design shrinks (more die per wafer). While CoO appropriately addresses maximizing good wafers per day as cost efficiently as possible, we need to quantify the financial effect of maximizing good die per day to gain a more accurate assessment of full value after all, maximizing good die per day ultimately maximizes revenue per day. Return On Investment (ROI) is another important business metric for assessing value. ROI is measured as either a function of time (length of time it takes for an investment to become profitable or time to break even) or an annual percent revenue return on investment. When analyzing ROI as a unit of time, the objective is to minimize ROI; when expressed as a percent return the objective is to maximize ROI. The productivity performance of the system is a key factor in determining the cost of ownership. Imaging and overlay performance can play an even more significant role in maximizing value by maximizing yield, functionality and possible die per wafer via aggressive design rule shrinks. At ASML, we have further quantified that value in dollars and cents by measuring the impact on revenue of three major areas of innovation that result in more good die per day: productivity, overlay and imaging. We call this resulting value proposition the Value of Innovation (VOI). Figure 1 The productivity advantage that comes with the power of two stages is demonstrated here, where TWINSCAN throughput at real process layer doses outperforms single stages by an average of 50%. Figure 2 Act/Poly Dose [mj/cm 2 ] TWINSCAN Conventional TWINSCAN Annular TWINSCAN Multipole Process Layers Metal Cont Single-Stage Conventional Single-Stage Annular Single-Stage Multipole TWINSCAN's high productivity means fewer systems are required leading to higher revenue. ASML = 20 Competition = 28 ArF I-Line ArF ArF ArF ArF I-Line I-Line I-Line Reduced Building Footprint > 400m 2 Reduced Building + Install Costs > $20M Additional Potential Capacity 2,000 wpm Additional Annual Revenue > $ 50M Comparison at Fab Build-Out I-Line ArF ArF I-Line ArF ArF ArF ArF ArF I-Line I-Line I-Line I-Line I-Line I-Line Throughput [wph] ASML Images Summer

6 (Continued) Figure 3 Lithography performance relationship to die yield. The Value of ASML Productivity Current technology requires not only a wide range of dose settings but custom illumination for several critical layers as well. Within these production conditions ASML delivers high productivity that maximizes wafers per day and therefore minimizes cost per wafer (Figure 1, pg. 3). ASML s higher throughput requires fewer tools, which results in a lower capital and installation cost, and therefore lowers the cost of ownership. At fab build-out, this additional floor space ultimately translates to additional wafer capacity and, more significantly, a higher revenue (Figure 2, pg 3). In a typical wafer fab, lithography is the planned bottleneck. Therefore, improvements in lithography capacity can directly translate to increased wafers out. The value of ASML innovations that result in higher productivity can be quantified as follows. Given even a lowrange average selling price (asp) per die of $5 and a conservative throughput increase of 15%, revenue increases could produce an ROI of 200% (or time to break even of less than 6 months or sooner, given higher die prices and productivity increases). Figure 4 The value of design rule shrink. % Gain in DPW vs. Design Rule Design Rule or Resolution (nm) Die Size= 7 x 14 mm or 10 x 10 mm 120% 100% 80% 60% 40% 20% 0% % Gain in DPW The value of the ASML innovations at fab build-out can best be appreciated by assessing how the fewer required tools enable additional wafer start capacity, as expressed in the following scenario. In this scenario the additional fab space from eight fewer lithocells, approximately 400 m 2 or 4300 ft 2, enables additional process equipment to be installed such that the fab can deliver an additional wafer start capacity of mm wafers per month. On a low-asp die ($5) this can translate to greater than $50M per year and thus maximize your ROI. The Value of ASML Overlay Along with productivity, ASML s innovations result in overlay and imaging capabilities that enable improved yield on today s technologies and more aggressive design rules on tomorrow s. Figure 3 illustrates the typical relationship between yield and imaging or overlay performance as a function of design rules or technology nodes. Improved overlay performance in current technology delivers larger process windows, resulting in improved yield or more good die per day. More aggressive design rules on future technologies enable smaller die, resulting in more die per wafer, which will deliver more good die per day and drive up revenue per wafer (Figure 4). More aggressive design rules also enable higher functionality on each die, commanding higher market prices and resulting in higher revenues per wafer. As an example, if a 1-nm overlay improvement results in reduced rework and a yield improvement of 1%, a $5M investment would translate to an ROI of 300% (or time to break even of less than 4 months) for a 300-mm fab running 40,000 wafers per month with 700 die per wafer, assuming a low asp ($5) die. The improved yield from overlay would continue to deliver greater die per day and value to the bottom line. 4 ASML Images Summer 2004

7 The Value of ASML Imaging ASML s superior dose and focus control delivers excellent Critical Dimension Uniformity (CDU). This enhanced imaging capability maximizes die yield on the entire wafer, including the challenging edge die. In addition, the ASML Ultra-k 1 portfolio of hardware, software, and mask solutions provides bigger process windows for smaller design rules with an integrated suite of tools for fine-tuning parameters such as focus, dose, dynamics and lens aberrations. Ultra-k 1 innovation delivers dramatically improved resolution and higher yield performance for volume low-k 1 manufacturing. ASML s imaging performance enables not only superior functional yield but also superior performance-based yield (i.e., functionality or speed). One critical Ultra-k 1 imaging component is DoseMapper, which helps customers compensate for process variations inside and outside of lithography to tighten their CD control even further. In some cases this improved CDU can translate to superior speed performance that results in higher asp (Figure 5). In a scenario that quantifies the imaging VOI, a customer using ASML improves their CDU by 1 nm, resulting in a 1% yield improvement. In this case a $10M investment would translate to an ROI of 400% (or time to break even of less than 3 months) for a 300-mm fab running 30,000 wafers per month with 800 die per wafer, assuming a moderate asp ($15) die. The improved yield from imaging would continue to deliver greater die per day and value to the bottom line. In a scenario where the improved imaging results in an improved speed performance and associated asp, the ROI is further improved. Value of Innovation Figure 5 In this example using current technology, imaging innovations in TWINSCAN reduce rework and yield loss in the manufacture of a 130-nm logic chip, with 1 nm of CDU improvement, resulting in a 1% yield increase. Spec Limit Reliable Performance in Manufacturing (RPM) ensures that yield-enhancing, cost-reducing ASML innovations work in every fab, every time, right out of the box and for years to come. ASML s Value Of Innovation (VOI) combined with RPM provides maximum return on your investment through the simultaneous delivery of superior imaging, overlay and productivity. Figure 6 CD Distribution CD [nm] Rework & Yield Loss Spec Limit Spec Limit ASML's Value of Innovation: more good die per day. CD Distribution CD [nm] 1% yield + reduced rework Spec Limit The Bottom Line: More Good Die Per Day ASML s value proposition (Figure 6) focuses on maximizing good die per day by delivering innovations in productivity, overlay, and imaging, resulting in added customer value. ROI analysis demonstrates the value of a nanometer and the importance of generating more good die per day by translating this into a financial metric. Customers who maximize their ROI by understanding VOI will not only justify their capital investment but generate a healthier bottom line. ASML Images Summer

8 65-nm production TWINSCAN XT:1400 For Wet or Dry Lithography by Manfred Suddendorf In April 2004, ASML announced the latest system from the TWINSCAN platform, the XT:1400E. This is our fourth-generation ArF lithography system, and the first to offer the flexibility of configuration for either wet or dry imaging. Based on the well established TWINSCAN platform, this dual-stage step and scan exposure tool combines the industry s highest numerical aperture optics with overlay, focus control and productivity performance that is second to none. But there is more: the unique modular design of the TWINSCAN platform enables the XT:1400 to be converted from a dry system to an immersion system in the fab or on the factory floor through a HydroLith immersion conversion kit. The tool thus gives customers the flexibility to include immersion into their technology roadmaps while protecting their investments in dry 193-nm technology. The strategy behind this high-na, immersion-prepared system means chipmakers move towards new technologies at their own pace. The performance and features of the XT:1400 enable its use as a volume production tool at 65- nm half-pitch and below. The XT: 1400 can also be used for preproduction development at the 45-nm node at relaxed pitches that are typical of MPU/Logic applications. The first shipment is expected at the end of Q The XT:1400 incorporates a number of new and improved features. The system s StarLith 1400 projection lens with a maximum NA of 0.93 provides increased performance and resolution though a new aspheric optical design. A new AERIAL-E illuminator improves uniformity, sigma range and pupil ellipticity for improved Critical Dimension Uniformity (CDU). The AGILE focus control sensor also improves CDU, while improved alignment performance results in 20-nm overlay on product. Like all ASML lithography systems, the XT:1400 leads the industry in productivity. Wafer stages running at 550 mm per second provide 118-wph throughput on 300-mm wafers while maintaining an overlay accuracy of better than 8 nm. This throughput is measured with 125 shots on fields of 16 mm x 32 mm with a dose of 30 mj per cm 2. The XT:1400 also comes equipped with ASML s Ultra-k 1 package of hardware and software solutions designed to enable viable low k 1 manufacturing while shrinking circuit features, ensuring high die yields and maximizing bottom-line return. T h i s flexibility is part of ASML s commitment to customers to provide the right technology at the right time. Your strategy may call for extending dry ArF lithography with the industry s highest numerical aperture system. Or, your road map may include a planned evaluation of immersion. You might even be ready to plunge into immersion based on the very promising results that have been shown thus far. In any case, ASML s XT:1400 provides you with an optimum lithography solution. 6 ASML Images Summer 2004

9 Key Specs Lens Max. NA 0.93 Wavefront Aberrations (RMS) 1.7 nm Distortion (NCE) 7 nm Image Plane Deviation 45 nm Astigmatism 25 nm Qualified Resolution 65 nm Technology Leadership The newest system from the TWINSCAN platform offers the unprecedented flexibility of configuration for wet or dry imaging via the HydroLith immersion conversion kit. New and improved features include the StarLith 1400 projection lens, the AERIAL-E illuminator, and the AGILE focus control sensor to improve overlay, CDU, and productivity. Illuminator Max. Annular Sigma 0.97 Min. Annular Ringwidth* 0.15 Min. Conventional Sigma* 0.16 Dose System Performance 1% Integrated Slit Uniformity 0.3% OL Single-Machine Overlay Matched-Machine Overlay On Product Overlay Target 8 nm 14 nm 20 nm Productivity Wafer Throughput** Lot Overhead (incl. Retex) 122 wph 13 nm * optional **ATP conditions 125 shot, 30mJ/cm 2 ASML Images Summer

10 immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments, however, indicate that optical lithography is more alive than ever. Immersion lithography has emerged as the potential technology for extending optical lithography. As discussed in the Fall 2003 edition of Images, immersion lithography offers two key benefits. First, it enables a significantly larger Depth Of Focus (DOF) using existing sub-1.0-na lenses. Second, immersion lithography enables the use of lenses that have Numerical Apertures (NAs) larger than 1.0. Immersion, in principle, is not a new technique. Its viability for microlithography, however, has become a practical consideration because of advances made in lens manufacturing technology, especially aspherical surface figuring. For 193-nm lithography, water proves to be a suitable immersion fluid. The refractive index for water is 1.43, which makes lens NAs above 1.2 feasible. Immersion offers the potential to extend conventional optical lithography to the 45-nm node and potentially to the 32-nm node. Figure 1 Height Process Dependency (HPD) of an optical sensor operating in a water and air environment measuring different substrate compositions Resist Thickness [nm] Water Air Metal Density Variations In H 2 0 Oxide Thickness Variations 100% Si 0% Metal 40% Si 60% Metal 20% Si 0% Metal 40% Si 60% Metal HPD [nm] Figure 2 Schematic drawing of the TWINSCAN system modifications for immersion lithography. Layout Use Existing Level Sensor In Air Use Existing Alignment Sensor In Air Integrate Liquid Supply System Temperature Degassing Purification Liquid Containment Integrate Wet- Chuck Swap Solution TWINSCAN System Modifications for Immersion Lithography ASML s journey toward immersion began with a modified TWINSCAN AT:1150 system. The AT:1150 combines the proven power and high productivity of the TWINSCAN dual-stage system with the fluid handling and containment solutions that are specific to immersion lithography. Additionally, we modified the 0.75-NA Starlith 1150 projection lens by changing the last lens element and adjusting the space between the other lens elements. We based the fluid containment solution on the shower configuration. The dual-stage design of the TWINSCAN platform enables the use of proven off-axis leveling and alignment metrology in dry conditions. If we could not measure in dry conditions, the water on the resistcoated wafer would make the focus measurement with optical focus sensors more sensitive to resist thickness variation (Figure 1). With the dual-stage design, however, we can limit the use of the immersion fluid to the expose area only. Figure 2 shows a schematic overview of the TWINSCAN system modifications for immersion lithography. Table 1 gives the main specifications of the prototype TWINSCAN ArF immersion system. Modified Lens Wafer Stage Sealing and Flattening Wet-image Sensor 8 ASML Images Summer 2004

11 Table 1 Description Immersion Fluid Specification DI Water Lens NA Illumination Sigma Range Illumination Modes Conventional, Annular, QUASAR Field Size Scan Speed 26 mm x 33 mm 360 mm/s The Hydraulic Image Dry systems use an image sensor to characterize the aerial image of, for instance, isolated lines. The prototype immersion system uses a modified version of such an image sensor to characterize the image in water, i.e., the hydraulic image. We present in Figure 3 the first measurement of a hydraulic image of a 250-nm isolated line, and we compare the result with similar measurements done on a dry TWINSCAN AT:1150 system. The exposures settings are NA=0.75 and σ=0.85/0.55. Step Speed Wafer Size Resolution Figure mm/s 300 mm 90 nm The results demonstrate a strong enhancement of the DOF. The increase in DOF is the same factor of 1.6 as predicted by simulation. The symmetry of the hydraulic image further confirms that the lens aberrations are well under control and that the immersion fluid does not introduce coma or spherical aberration. Dry (top) and hydraulic image (bottom) as seen by a transmission image sensor. Immersion Photoresist Processing WS Z position [m] AIX Within ASML, photoresist processing is performed on a stand-alone track (FSI POLARIS 3500). We usually use a protective topcoat to prevent T-topping caused by airborne amine contamination. In dry systems, we use a water-soluble topcoat, but in a water immersion scanner we cannot use this type of topcoat. For immersion exposures, we use a non water-soluble topcoat. The photoresist is the same for both the dry and immersion processes. Table 2 (pg. 10) summarizes the process conditions that we used for all tests discussed in this section. WS Z position [m] WS X position [m] AIX Imaging Results for 90-nm Node Features With a maximum NA of 0.75, the resolution capability of the prototype TWINSCAN ArF immersion system can support 90-nm node features. We examined the imaging performance of lines and spaces and contact holes. Using phase shift mask technology, we also investigated the resolution limit. We compare the imaging data obtained on the prototype TWINSCAN ArF immersion system with the same system when it was dry (before modification for immersion lithography) WS X position [m] ASML Images Summer

12 (Continued) Table 2 Process Descriptions. Description Conditions Process Condition A B(B 1 ) C Structure Type Lines and Spaces Isolated Lines Contact Holes Photoresist TOK TArF p611 TOK TArF P5068 TOK TArF P7047 Thickness 225 nm 225 nm (175 nm) 300 nm BARC ARC 28 ARC 28 ARC 28 Topcoat Dry AZ Aquatar -VI AZ Aquatar - VI AZ Aquatar - VI Topcoat Wet TOK TSP - 3A TOK TSP - 3A TOK TSP - 3A Softbake Temperature 126 C 120 C 120 C Softbake Time 90 s 90 s 90 s PEB Temperature 130 C 130 C 110 C PEB Time 90 s 90 s 90 s Surfacinated Rinse OptiPattern Developer OPD-5262 OPD-5262 OPD-5262 Development Time 60 s 60 s 60 s Dense 1:1 Lines and Spaces Using process condition A, we evaluated 90-nm 1:1 lines and spaces at an NA=0.75 and σ=0.85/0.55 annular illumination. Figures 4 and 6 show the results of the evaluation and comparison between 50-mm/s and 360-mm/s scanspeeds. The results demonstrate a large gain in DOF under immersion conditions. The DOF increases from approximately 0.6 µm to 1.0 µm. This factor of 1.67 is close to the theoretical value (1.6). The measured exposure latitude for the immersion exposures is identical to the measured exposure latitude of the dry exposures. This indicates that the contrast level with immersion is comparable to the contrast level in dry systems. Our comparison of high and low scan speeds reveals no significant differences between the two. Figure 4 Dry and wet process windows for 90-nm 1:1 dense lines and spaces. Figure 5 Dry and wet process windows for 90-nm isolated lines Exposure Latitude (%) 6 4 Exposure Latitude (%) Defocus (m) Dry Vertical Dry Horizontal Wet Vertical 50 mm/s Wet Horizontal 50 mm/s Wet Vertical 360 mm/s Wet Horizontal 360 mm/s Defocus (m) Dry Vertical Wet Vertical Dry Horizontal Wet Horizontal 10 ASML Images Summer 2004

13 Figure 6 Dry and wet cross-sections for 90-nm 1:1 dense lines and spaces. Focus [µm] Dry -0.5 Wet Figure 7 Dry and wet cross-sections for 90-nm isolated lines. Focus [µm] Dry -0.5 Wet ASML Images Summer

14 (Continued) Isolated Lines without Assisting Features Using process condition B, we evaluated 90-nm isolated lines at NA=0.67 and σ=0.75/0.45 using annular illumination. Figures 5 (pg. 10) and 7 (pg. 11) show the comparison between the results for the immersion and the dry situation. Immersion increases the DOF for the isolated lines considerably from 0.45 µm to 0.65 µm. The gain in DOF is approximately a factor of 1.44, which is close the theoretical value (1.55). Furthermore, we found that immersion does not change the exposure latitude. Technology Leadership Immersion lithography offers the potential to extend optical lithography. The dual-stage TWINSCAN platform enables dry metrology and wet exposure. Figure 8 Dry and wet process windows for 115-nm 1:1 dense contact holes. 30 Dense Contact Holes Using process condition C, we evaluated 115-nm 1:1 dense contact holes at NA=0.75 and σ=0.85/0.65 using 30 degrees QUASAR illumination conditions. Figures 8 and 9 show the measured process windows and the top-down SEM pictures over a focus range. The DOF for the dense contact holes increases from 0.8 µm to 1.4 µm, which is an increase factor of 1.75 (more than the theoretical 1.6) Defocus (m) Exposure Latitude (%) Dry Wet Figure 9 Top-view SEM pictures of 115-nm 1:1 dense contact holes. Dry Focus [µm] Wet 12 ASML Images Summer 2004

15 Isolated Contact Holes Using process condition C and a six percent attenuated reticle, we evaluated 130-nm isolated contact holes at NA=0.63 and σ=0.4. Figure 10 shows the results. The DOF increases from 0.8 mm (dry) to 1.3 mm (wet) which is a factor of 1.62 increase, and beyond the theoretical value based on geometric reasoning (1.5 mm). Summary of Imaging Results In summarizing the results on imaging performance for the 90 nm node, we found that with immersion the prototype TWINSCAN ArF immersion system increases DOF by a factor , depending on the feature size and the exposure conditions. Although the theory predicts a large DOF gain as well, we were unable to obtain an exact match between theoretical and experimental results. In most cases, simulation gives a somewhat smaller gain in DOF. Only in the experiment for isolated lines is there a smaller DOF gain than predicted. Further study is required here. Conclusion ASML has made significant steps in 193-nm immersion lithography development. Our feasibility study on immersion lithography has identified design solutions for the exposure system. A shower-type configuration is preferred over a bath-type configuration because of its lessened impact on the Step & Scan system. At the 193-nm wavelength, water as immersion fluid does not limit the scan speed of the Step & Scan system significantly. Additionally, the (photo-) chemical effects on both the lens and the resist do not represent a major problem. More critical is the effect of the film of water on the optical performance of the system. Temperature control is important for focus stability and aberration stability, and it should be in the tens of mk range. The fluid supply system needs to ensure a bubblefree film. Degassing is required and pressure gradients should be low enough to avoid cavitation. Our resist screening work on the custom-built interference setup showed that existing commercial ArF resists are suitable for water immersion. ASML has built and tested the industry's first full-field Step & Scan immersion system. The dual-stage TWINSCAN system is extremely well suited for immersion because the alignment and leveling functions of the system are separate from the immersion exposure. Our prototype TWINSCAN ArF immersion system images features of 90 nm and smaller and delivers an increase in DOF of more than 1.6. The system can expose edge dies, and intrafield and full-wafer CD uniformity results demonstrate that immersion lithography can deliver the high levels of printing accuracy needed in volume production. The system overlay is within the 35 nm requirement; however, further improvement will be needed. The positive results on the immersion proto machine have resulted in a modification of the lithography roadmap. Immersion lithography will be introduced to enhance the focus margin for 65-nm systems. Subsequently, hyper NA lenses (NA > 1) can be developed to support the 45-nm node using ArF immersion lithography. Figure 10 Top-view SEM pictures of 130-nm isolated contact holes. Dry Focus [µm] Wet ASML Images Summer

16 value of innovation Advances in Focus Control by Hans Bakker As resolution shrinks, the Depth Of Focus of the imaging processes shrinks even faster. As a result, focus control on a lithography tool increasingly becomes the limiting factor for a viable and robust production process. Depth Of Focus (DOF) is the focus latitude of the overlapping process windows of all features on a specific product layer and it is the outcome of the imaging and process design (wavelength, illumination and reticle technique, resist process, etc.). When this layer goes into production, myriad errors from the machine, process and material consume part of the available DOF. The sum of these error contributions determines the focus control. In order to have a viable and production-worthy process, the focus control should always be smaller than the available DOF. The roadmap of the available DOF as derived from many customer cases and the planned focus control on the PAS 5500 and TWINSCAN platforms are graphically represented in Figure 1. The focus control budget consists of the following: Blue image: The blue image part contains all contributions such as reticle unflatness, reticle stage position control and projection lens focal plane deviations that determine the unflatness of the aerial image in the scanning exposure slit. The specific breakdown of the focus control budget can vary from customer to customer or from product to product. For example, the contributions coming from preventive maintenance or product setup will differ between DRAM, MPU, Logic or Foundry manufactures. Additionally, the balance between reticle blank costs and reticle flatness is different for different manufacturing segments. Total focus control is a complex interaction of many factors. Knowledge of the individual contributions and the interactions among them is necessary to choose a correct balance and optimize focus control. Figure 1 DOF versus Focus Control roadmap for both the PAS 5500 and the TWINSCAN platforms Leveling: The leveling system positions the non-flat wafer surface with minimal defocus errors in the aerial image of the exposure slit. The leveling part contains all contributions from the measurement accuracy of the wafer flatness with the level sensor, the wafer stage position control system and the leveling algorithms nm 90 nm 65 nm 45 nm DoF Focus Control PAS 5500 Platform Focus Control TWINSCAN Platform 0 Calibrations: Calibrations are needed during setup and maintenance of the tool and to connect the blue image of a specific product layer to the leveled plane. It contains contributions from the tool setup and product focus setup, and the preventative maintenance to guarantee the focus control over time. 14 ASML Images Summer 2004

17 Knowledge Transfer In order to share the ASML knowledge on focus control and to help customers to optimize their budget, ASML has developed different initiatives: Focus on Focus is a dedicated focus control seminar for PAS 5500 Step & Scan systems. ASML has organized an on-request, on-site, 1-day seminar. The aim is an extended and direct knowledge transfer between ASML experts and our customers. This seminar has recently been given successfully at most PAS 5500 fabs in Asia, the U.S. and Europe. Application notes give in-depth explanations on specific focus control or leveling topics, new options, or features. A dedicated application note on leveling solutions and strategies for the PAS 5500 Step & Scan systems forms the backbone of a framework of connected application notes on specific leveling issues and the available solutions. In total, over 20 application notes on focus control topics for both the PAS 5500 and TWINSCAN platforms have been published and are available for customers in the ASML Coach system. Figure 2 Edge die yield improvement of > 4% in production after applying the SMS functionality. EDY 8 spot LS Focus Control Options 8 spot LS + SMS For both the PAS 5500 and TWINSCAN platforms a continuous focus control improvement program is in place that delivers options for generic or specific focus control improvements on both platforms. Diagnostic Tooling To give our customers a better understanding of the leveling performance in their PAS 5500 tool, we have developed LDT (Leveling Diagnostic Tool). LDT is a free program that customers can download onto their PC from the ASML CustomerNet website. It is a software tool for displaying, processing and diagnosing data from the leveling system during normal production and/or test runs. The key benefits of LDT are that it enables customers to select optimal leveling methods and provides enhanced diagnostics for product optimization and troubleshooting. It helps you to understand the leveling performance of your system and helps you to determine the correct way to apply all the new options available for the PAS 5500 platform. A similar package for TWINSCAN called FLAT (Focus and Leveling AT) has been developed and is currently under beta test at select customer fabs. It will be made available on the CustomerNet website in the course of this year. PAS 5500 The latest PAS 5500 tools (/750F, /850C, and /1150C) are standard-equipped with the full 8-spot level sensor package. This package contains the following features that improve the leveling on specific areas of the wafer: SMS (Shifted Measurement Scan) is a software functionality that performs additional measurement scans on the 3 and 9 o clock positions of the wafer. This results in improved focus control on wafers with a high roll off towards the edge of the wafer and can improve the edgedie yield at the 3 and 9 o clock positions, as can be seen in Figure 2. ASML Images Summer

18 (Continued) LOP (Leveling Offset Profile) is a software functionality that corrects for systematic topology. CDFEC (Circuit-Dependent Field Edge Clearance) is a functionality that allows ignoring the height information of user-specified edge fields for leveling, which are known to contain large height step due markers, for example, to be ignored. Figure 3 Improvement of AllbyLS area with the former and new 8-spot level sensor for a typical DRAM layout. In-line P above Q is a functionality that automatically calibrates the process-dependent height offset between the two level sensor branches on the first wafer of a batch. The SMS, LOP and CDFEC functionalities are also available as separate commercial options for existing 4-spot Level Sensor tools. In addition to these leveling options, FSM (Focus Spot Monitor) will become available this spring for the PAS 5500 Step and Scan tools. This option is an early detection tool for out-of-focus exposures due to wafer or chuck contamination. 4-spot LS AllbyLS = 68% On the PAS 5500/850D we will introduce a new 8-spot Level Sensor. This new design extends the capabilities of the former 8 spot level sensor and incorporates 3 major improvements: New Polarizer and mirror assembly. These new optical components in the level sensor branches reduce height and tilt process dependencies. This results in diminished variances between different litho-tools and reduces the required number of focus calibrations. New LS gratings for improved leveling on both inner and edge fields. A new spot layout of the 8 level sensor spots result in a % leveling improvement on inner fields and an enlarged area becomes AllbyLS compared to the former 8-spot LS. This is shown graphically in Figure 3 for a typical DRAM job layout. This AllbyLS leveling mode guarantees the least possible defocus errors. 8-spot LS AllbyLS = 75% SMS+/AXA, improved Shifted Measurement Scan and Advanced extrapolation leveling algorithms. Additionally, this new 8-spot level sensor on the PAS 5500/850D it will be made available as a field upgrade for the installed base of 8 spot level sensor PAS 5500 Step & Scan tools. New 8-spot LS AllbyLS = 84% TWINSCAN On the TWINSCAN platform, a new level sensor design has been implemented on the dedicated metrology position. This separate position allows the maximum design flexibility for an optimal sensor design not hampered by space limitations. In the metrology position, the wafer surface height is fully mapped by this level sensor with high spatial frequency resulting in a complete 3 dimensional wafer map. The parallel processing of the exposure AllbyLS ZRxbyLS ZRybyLS ZbyLS NonebyLS 16 ASML Images Summer 2004

19 and metrology stage allows the calculation of the best possible trajectory for the wafer-stage movements for the exposure position. As a result, the wafer surface is placed optimally in the aerial image in the scanning slit, minimizing the defocus and therefore delivering optimal CD control. FIT1 Package On the AT:1250, the standard FIT1 (Focus Improvement TWINSCAN) package is included with the tool to further tighten the focus control. This package contains five major new focus control options: Level Sensor match2 is a new level sensor with improved optics. The benefit of this Level Sensor is that the variation between different tools is reduced. It eliminates the need for a FEM calibration per product layer on different tools with the LS match2 installed. Ultra Flat E-chuck is a new flatter E-chuck with a flatness specification twice as low as any previous E-chuck. CDFEC is a similar functionality to that in the PAS It ignores the measurement data of unusable dies at the edge of the wafer for the set point determination of the wafer stage. Figure 4 illustrates how this can drastically improve CDU on edge die and ultimately the edge die yield. FSM is an option that prevents out-of-focus exposures based on the level sensor measured wafer map. The option warns in time for wafer or chuck contamination. All individual components of this FIT1 package are available as separate options on previous TWINSCAN tools. Future Developments For the 65-nm node, the available DOF is expected to be a maximum of 150 nm. In particular, the printing of contact holes will result in extremely small overlapping process windows. This requires a tight focus control of less than 150 nm, and this should be achieved in a production environment without adding additional time consuming calibrations. This can be realized with an additional absolute height sensor, which corrects for product-specific height and tilt offsets. Technology Leadership Knowledge transfer, diagnostic tooling and myriad focus control enhancements are all part of ASML s program for tighter focus control on product. ASML focus control innovation leads directly to optimized production processes and ultimately increased yield and improved product performance. Figure 4 CDU improvement for contact hole printing on edge fields in a production environment with CDFEC switched on. Field R1 R2 R3 R4 R5 R6 R7 R8 L1 L2 L3 L4 L5 L6 L7 L8 C1 C2 CDFEC Off CD target (+/- 3%) CD target (+/- 10%) Contact Closed CDFEC On UL UR LL LR UL UR LL LR OFF 32.8% 12.5% 54.7% ON 82.8% 17.2% 0.0% All the above are examples ASML's the continuous efforts to innovate focus control and share this knowledge and new developments with our customers. These innovations directly helps you as user of the ASML tools to tighten your focus control, optimize your production process and ultimately increase your yield and improve your product performance. ASML Images Summer

20 advancing technology Successful Introduction of Back-side Alignment at Fairchild, Korea by Peter ten Berge In November 2003, the first 3DAlign back-side alignment upgrade was installed on a PAS 5500 stepper at Fairchild Semiconductor in Korea. Fairchild Semiconductor decided to participate in the beta testing of 3DAlign with the intention of utilizing a new back-side alignment scheme for their thick epitaxy process. First results from their engineering run have exceeded yield expectations, and the first pilot production run is scheduled for later this year. Already the results indicate success according to Mr. Jung Kil Lee of Fairchild Semiconductor, the early testing of the 3DAlign back-side alignment option has provided us with a solution for our epitaxy alignment issues and the possibility of a much simpler alignment scheme in our processing. Table 1 Back-side alignment beta/acceptance test results. Machine Type Overlay (nm) Customer A PAS 5500/22 71 Customer B PAS 5500/80 79 Figure 1 Schematic of 3DAlign alignment principle. Lens Peter ten Berge, ASML s product manager for 3DAlign, is equally positive about the possibilities presented by the 3DAlign back-side alignment. We ve worked with Fairchild Korea on introducing this option with great excitement on both sides, since we realize that 3DAlign can bring a lot of benefits in the processing of the many device types that Fairchild runs, says Mr. ten Berge. These benefits include both cost reduction in existing process flows through fewer process steps, as well as enabling new stacks for new products. 3DAlign back-side alignment has been beta tested on multiple PAS 5500 stepper systems (see Table 1). Wafer With Back-side Alignment Marker Wafer Edge One Of The Optical Modules Embedded In The Wafer Table, Extending Outside The Wafer Edge Image Of Alignment Marker Projected In The Focal Plane ASML s 3DAlign is a technology that enables customers to perform back-side alignment in regular IC applications and also allows for double-sided wafer processing/alignment in MEMS applications. The unprecedented accuracy of the 3DAlign technology enables, in principle, a complete decoupling of the processing of the layers (front side of wafer) and the alignment of the layers (back side of wafer) (see Figure 1). 18 ASML Images Summer 2004

21 The 3DAlign back-side alignment scheme, dubbed back-toback alignment (BTBA), can be applied in many sorts of process flows where processes such as thick metal deposition, CMP, or epi deposition lead to alignment issues (see Figure 2). Depending on the design rule of the specific process layer, the back-side alignment scheme can be a solution, while the remaining layers are aligned to the front side of the wafer, as usual. In many cases where the overlay requirements allow for it, the complete film stack can be aligned to the back side. The product rollout for the 3DAlign back-side alignment option on PAS 5500 steppers is scheduled for mid Technology Leadership Initial test results from the installation of the first 3DAlign back-side alignment upgrades have exceeded expectations. Back-side alignment offers the potential to reduce the number process steps, enable new stacks for new products, and reduce cost. Figure 2 Schematic of subsequent implants in epitaxial Si layers after alignment (left) with back-side alignment without an effect of epi shift, and (right) with standard front-side alignment suffering from progressive epi shift as a result of the deposition implant cycles. Si Substrate Implants In EPI Before Diffusion Si Substrate Implants In EPI Before Diffusion Implant Structures Aligned To Back-side Marks Implant Structures Aligned To Redefined Front-side Marks ASML Images Summer

22 extending lithography CPL Technology: Road to Adoption for 65-nm Lithography by Dinesh Bettadapur More than three years ago, ASML MaskTools invented a new Resolution Enhancement Technology (RET) aimed at meeting the imaging requirements for advanced technology nodes such as 65 nm. Called CPL Technology, this RET is a single-mask, singleexposure technique that is highly applicable for imaging certain critical layers such as gate and contact layers at k 1 values of 0.4 and below (low-k 1 imaging). A typical CPL mask contains phase features (dense lines), chrome features (isolated lines) and features that contain both chrome and phase elements (semidense features). A CPL mask also includes scattering bars and model-based OPC (see Figure 1). A CPL mask is then imaged with an advanced high-na ASML scanner containing off-axis illumination or customized illumination. Together, these elements deliver the best imaging performance. Since inventing this technology, ASML MaskTools has worked closely with its customers and partners to drive CPL to a mature state, coordinating all the necessary elements in the supply chain to prepare it for high-volume manufacturing at next-generation process nodes. We envision CPL as one of the leading RET solutions for critical layers on both DRAM and logic devices at 65 nm and beyond. Necessary Elements for Adoption Before adopting a new technology, customers need to be satisfied that key questions related to cost, performance and manufacturability are fully addressed. Some of these questions might be: Does CPL demonstrate equal or better cost/performance ratio compared to existing technologies? Does CPL-based imaging provide required DOF, EL, CDU? In addition, key enablers and proven solutions must already be in place. There are three primary enablers for the adoption of a new technology such as CPL (see Figure 2): Figure 1 A typical SRAM pattern enhanced using CPL techniques. Scattering Bars Chrome Patch Advanced imaging techniques, such as high-na and offaxis illumination Mask infrastructure, including mask-making and mask inspection Production-worthy full-chip software that can automatically convert full-chip design data into manufacturable CPL mask data Original SRAM Design CPL SRAM Design by MaskWeaver From the early stages of CPL Technology development, ASML has been collaborating with its customers and partners to ensure the availability of all three of the above enablers. Phase Area Chrome Elements (transmission tuning) Model OPC 20 ASML Images Summer 2004

23 Figure 2 Key enablers of CPL Technology. Figure 3 ASML offers a fully integrated CPL solution using its leading scanners and software products. CPL Mask Data CPL-specific Scanner Setup CPL Technology Mask MaskWeaver Full-Chip CPL Production Solution Scanner Fingerprint Design Data Optimized Illumination LithoCruiser Low-k 1 Optimization Solution Optimized Scanner Settings Integrated CPL Solution ASML offers an integrated CPL solution that is highly optimized for ASML's industry-leading ArF scanners. The implementation flow begins with ASML s ArF scanner fingerprint data fed into LithoCruiser, the industry s first low-k 1 optimization solution (Figure 3). LithoCruiser optimizes the scanner settings and determines the best NA and sigma values. It also determines the optimum illumination for critical design clips and feeds that information into MaskWeaver, a full-chip model OPC solution. MaskWeaver performs the CPL treatment on the input design layer and fractures the data into the appropriate mask writer formats (e.g., MEBES, Toshiba). Mask makers then convert the data into a physical CPL mask containing ASML alignment marks. The CPL mask is exposed under the optimal settings derived from LithoCruiser and outputs a set of wafer images that meet the performance criteria for the specific design layer. ASML also offers a tightly integrated software implementation flow based on its LithoCruiser and MaskWeaver products. LithoCruiser is used for the creation phase where the user can determine the optimum CPL treatment for a set of design clips. The results are fed into the next step which is the calibration phase using ModelTuner, an accessory of MaskWeaver. ModelTuner produces a model that is capable of predicting highly accurate wafer imaging based on a given mask pattern. The final step is the production step using MaskWeaver which converts the full-chip design data into a manufacturable CPL mask, using the model derived from ModelTuner. And because CPL software is generalpurpose and highly automated, it can convert a wide variety of patterns into manufacturable masks including gate layers, contact arrays, DRAM brickwall structures and dense contacts. Building the Supply Chain Output Low-k 1 Wafer Results ASML ArF Scanners From the time ASML MaskTools invented CPL, we have worked closely with our customers and partners to ensure that a complete and integrated CPL supply chain becomes available (Figure 4, pg. 22). Solid imaging results have been demonstrated on ASML s ArF scanners: PAS 5500/1100, AT:1200, XT:1250 (Figures 5 and 6, pg. 23). Please refer to figures 5 and 6 on page 23. We have been able to successfully complete the CPL treatment of several ASML Images Summer

24 (Continued) designs using our software products LithoCruiser, ModelTuner and MaskWeaver. To make certain that CPL was broadly applicable to a wide variety of market segments, we established development partnerships with an IDM, foundry and DRAM customer. We have been actively pursuing licensing opportunities with key EDA vendors to enable the implementation of CPL in their full-chip software products. We have also established strategic alliances with DNP and Photronics to ensure a stable mask-manufacturing infrastructure. In addition, we have established joint projects with leading mask inspection vendors to further the development of mask inspection capability for CPL masks. In turn, our customers and partners are also working closely with their suppliers in the supply chain to develop some of the elements. Every element for a reliable supply chain is now in place, providing customers with the confidence to adopt CPL for their future technology needs. Technology Leadership CPL is evolving as one of the leading resolution enhancement technology solutions for low-k 1 imaging. ASML works closely with customers and partners to ensure that a complete and integrated supply chain is available and in place for the adoption of CPL. CPL technology has shown very promising results for gate and contact layers (low-k 1 imaging layers). Figure 4 ASML MaskTools has established strong partnerships with industry leaders to enable a complete CPL supply chain. The Value of CPL Innovation Scanners Software/IP ASML MaskTools has developed its CPL Technology to a production-ready state, with an integrated solution flow and complete supply chain. The key benefits of CPL Technology are: Single-mask, single-exposure technique for maximum productivity in the fab Cost effective solution single mask, extension of existing lithography investments Broad applicability to clear and dark field layers (e.g., gate, contact) for both DRAM and logic applications Tight integration with ASML s advanced ArF scanners Correct by construction design layout no phase conflicts Integrated CPL software solution flow from simulation to optimization to model calibration to full-chip mask data preparation Complete CPL supply chain software, mask infrastructure and imaging ASML MaskTools Mask Data Prep Software EDA Vendors Mask Infrastructure Mask-Making Mask Inspection Defect Correction Low-k 1 Imaging High NA, Immersion OAI, Custom DOE Partners & Customers Through extensive innovation, preparation, qualification and optimization, CPL Technology has gone from concept to maturation and now stands poised on the verge of adoption for extending lithography to the next challenging generation of technology nodes. ASML MaskTools would like to acknowledge the strong support of its customers and partners in the development of CPL Technology. 22 ASML Images Summer 2004

25 Figure 5 CPL Technology Imaging Results: 65 nm lines, 130 nm pitch, ASML AT:1200, 0.85 NA, C-Quad Illumination, low-k F=-0.2 F=-0.15 F=-0.1 F=-0.05 F=0 F=0.05 F=0.1 F=0.15 F=0.2 Figure 6 CPL Technology Imaging Results: 65 nm contacts, 130 nm pitch, ASML AT:1200, 0.85 NA, QUASAR Illumination, low-k BE/F-.15 BE/F-.10 BE/F-.05 BE/F+.05 BE/F+.10 BE/F+.15 E-5%/BF BE/BF E+5%/BF ASML Images Summer

26 surplus equipment ASML's Remarketing Service by Jos Vreeker Introduction Although the semiconductor industry has embarked on the long road to maturity, the traditional upswings and downturns won t soon become a phenomenon of the past. For you, as semiconductor manufacturer, as well as for us, the equipment supplier, the ability to adapt to the ever-changing circumstances are of great importance. This requires a high level of flexibility for which new ideas and management tools are needed. Another aspect of the growth to maturity is that costs will become increasingly more important, and the availability of preowned equipment in the market will continue to increase. Requirements with respect to technology, support and financing will become more diverse. Depending on your business model, you may require the latest in technology, while others operate in more specialized markets that require different technologies and cost structures. It is evident that pre-owned equipment is becoming more attractive for many applications. ASML's Remarketing Service includes a number of services designed both for customers with surplus equipment, as well as for customers who do not need the latest in technology. In other words, remarketing is a means to better manage your installed base. This article focuses on managing surplus equipment. If you want to know more about the various kinds of preowned equipment that ASML offers, or if you want to check the availability of preowned equipment, please consult your Account Manager. Managing Your Surplus Equipment In considering your next technology steps, you might conclude that a part of your installed base does not meet the requirements for your new product range. In that case ASML can help. Depending on your specific requirements, we offer several solutions: ASML Remarketing service: If you re looking to facilitate the easy selling of surplus equipment, while maximizing the selling price, ASML offers a unique remarketing program with mutual benefits. Together with our remarketing experts, we will jointly set the best strategy for your surplus equipment, depending on the system type, market conditions and risk profile. Depending on the chosen strategy, the system can be remarketed in two ways: as certified systems or as direct shipment systems. Certified systems are rebuilt as new using qualified modules. This is more than just refurbishing, because apart from the system rebuild, they are tested and qualified using procedures for new systems. The result fulfills the highest standards of quality and reliability. Installation, Site Acceptance Testing and warranty are included. Certification greatly enhances the value of your system in the market. The Role of ASML Remarketing Services in System Life Cycles 24 ASML Images Summer 2004

27 Direct shipment systems are shipped directly from you to the end user. Prior to offering the system, ASML performs a complete audit, repairs are carried out if necessary, and a complete quality assessment report is made available. The price will be matched to the performance and state of the system. Installation, Site Acceptance Testing and warranty can be included as well. ASML s dedicated Sales and Customer Support infrastructure will be used to find potential buyers. The new customer is offered the complete package of ASML services, field options and accessories. ASML Purchasing service: If a joint remarketing program doesn t fit your needs, ASML may buy your surplus equipment. Whether or not this service is offered depends on the system in question and the market conditions. ASML Trade-in service: Another possibility is to trade in one or more surplus systems when you purchase a new system from ASML. In that case our remarketing experts, with their specific knowledge, will assist in the transaction. Program Values In all three of the above cases you can make use of ASML s unique capabilities and values: Product knowledge: ASML, as the Original Equipment Manufacturer, has the most knowledge of the systems. Market information: ASML has manpower available to gather and manage market information, such as price trends, expected Fair Market Value, product roadmaps and requirements of our customer base. This enables us to carefully balance selling price and selling probability. Value of Innovation ASML offers flexible and comprehensive ways to manage your surplus equipment to suit every customer s system type, business model and cost structures. Before being remarketed as certified systems, surplus equipment is rebuilt, tested, and qualified "as-new" including installation, Site Acceptance Testing and warranty. Prior to remarketing as direct shipment systems, surplus equipment is audited thoroughly by ASML and repaired if necessary before being shipped directly from you to the end user. Sales force: It takes an ASML sales force to sell ASML equipment. Sales specialists around the world will actively sell your equipment with full support of the ASML factory, Account Support, Marketing and last, but not least, Remarketing experts. ASML brand name: Historically, the ASML name has been synonymous with technology, innovation, quality, support and customer commitment. Remarketing specialists are located around the world. They have access to the entire ASML infrastructure and will advise you on the market opportunities for your systems, discuss the possible scenarios of product positioning and assist you in selling your system. All of this is done in close cooperation with your Account Manager. To learn more about this unique program, please consult your local Account Manager, or contact remarketing@asml.com. Fair Market Value (FMV) analysis: ASML can advise you on the FMV of your surplus equipment and balance that with competitive products, both new and used. Historical equipment information: historical data is maintained for all systems. This information is made available, maximizing the competitiveness of your system. ASML Images Summer

28 improved yield In a Word CLASS by Francie Lamers The business of semiconductor manufacturing involves highly complex technology, and a constant demand for high yield and is driven by tight budgets. To stay competitive, companies must continuously improve the performance of manufacturing assets against lowest cost. To enable our customers to maximize the value they derive from using ASML systems, we provide a program called Customer Lithographic Applications: Services and Solutions (CLASS). Tailored to fab-specific requirements, this program can quickly improve your fab s yield and output. Customers who require a technology upgrade or rapid increase of capacity can select from a wide variety of hardware and software enhancements. The result is a balanced choice of equipment and system enhancements and implementation of state-of-the-art methodologies and techniques that yield significant process performance while oftentimes reducing cost of ownership. ASML s CLASS is a suite of solutions and services that ensures each ASML product exceeds expectations. The CLASS program offers the following products that can be combined and customized to specific requirements to guarantee optimal performance of your installed base. System Enhancements: Imaging Overlay Productivity Low-k 1 Solutions Automation Utilization Application Products and Programs: CII: Customized Imaging Improvement COI: Customized Overlay Improvement CPI: Customized Productivity Improvement Fab Start-up Support Tool Ranking Application Support and Contracts Customer Focus CLASS comprises a customizable suite of solutions and services that ensure that ASML customers enjoy optimal process performance and reduced cost of ownership. CLASS includes system enhancements, application products and programs, maintenance operations and support and knowledge transfer. Maintenance and Operations Support: Service Contracts Spare Part Logistics Relocation & De-installation Support Application Support and Contracts Knowledge Transfer: Class Training Computer Based Training Dedicated Knowledge Transfer Sessions Coach for Service CustomerNet Through CLASS, you can customize sophisticated solutions that are best suited to your needs and bring the most benefit for your fab specific process requirements. While we support you in keeping your equipment functioning at peak performance, you can focus on the real challenges of your business. CLASS offers tailored solutions for successful resource management. For more information visit us web site 26 ASML Images Summer 2004

29 aspheric optics Innovation in Optical Design Gain the Asphere Advantage by Dan Bajuk, Mark Bigelow As manufacturing techniques improve, aspheric optical components gain growing acceptance. The superior imaging properties of aspheres have been known since the inception of optics technology. But aspheric surfaces were difficult and expensive to fabricate, a factor that limited their widespread usage. Recent advances in aspheric manufacturing and processing technology are allowing new applications to meet demands for improved system performance. In this article, we ll examine how optical designers and optical fabricators are building a new generation of aspheric components for end uses in semiconductor applications as well as for other consumer and industrial uses. Technology Leadership Recent advances in manufacturing and processing technology present new possibilities for aspheric optics applications in the semiconductor industry. Benefits of the use of aspheres include reduction in cost of goods and more compact designs with fewer optical components. Figure 1 Spherical vs. Aspherical Focus. Advantages of Aspheres Among the possible benefits of using aspheres are: Designs with fewer optical components Lighter, smaller, more compact designs Spherical Reflector Spherical Aberration Higher levels of performance Cost of goods reduction Solutions to otherwise impossible problems Reduced to its simplest form, an asphere is a component that has at least one non-spherical surface. The most commonly used aspheric shapes are the conic sections: paraboloids, hyperboloids and ellipsoids. The asphere s primary advantage is that it effectively eliminates spherical aberration. This concept can be illustrated by using ray traces of reflective elements. Figure 1, for example, shows on-axis collimated light incident on both a spherical and a paraboloidal mirror. On the spherical mirror, light rays striking farther from the optical axis are focused progressively closer to the mirror. The result is an increase in the size of the focal spot. This is a predictable physical effect the application of the law of reflection to the spherical shape of the mirror. In contrast, the light rays reflected from the paraboloid all cross the optical axis at a common point, producing a point of focus close to perfect. Paraboloidal Reflector Near-Perfect Focus ASML Images Summer

30 (Continued) Light rays behave in a similar way in refractive optics. However, in this case it results from applying Snell s law of refraction to the spherical surface of the lens. Moreover, the effects of spherical aberration get progressively worse farther from the optical axis (marginal rays). In other words, the less lens aperture used the better the lens performance. Since f-number is defined as a lens focal length divided by its clear aperture, it follows that spherical aberration increases with a lower f-number (a larger aperture). As the index of refraction of the lens material increases, it takes less lens curvature to produce a given focal length. High-index materials yield lenses with less spherical aberration since less curvature means less aberration. This is one reason infrared material such as germanium or silicon provides good performance with fewer components. Manufacturing Considerations Given the performance advantages of aspheric surfaces, why have they not been used more widely in optical systems? As already indicated, aspheres are difficult to fabricate, especially to tight tolerances. Traditional spherical processing methods employ a polishing tool that mates with the lens surface. Rotation and translation of the tool relative to the lens naturally produces a precision spherical surface. This approach doesn t work with aspheres since there is only one axis of rotational symmetry. A more complex tooling and control mechanism must be used to produce aspheres effectively. This is the case at least with high-precision optics. Aspheres can be molded aspheres and are used as non-imaging condenser lenses in projectors and illumination systems. In these applications the high light-gathering capability of the asphere outweighs the disadvantage of lower resolution. manufacture of printed circuits and alignment and focus systems where compact size weight and performance may drive design decisions in favor of aspheric solutions. ASML Optics Provides Cost-Reducing Aspheric Redesign In a classic example of cost-of-goods engineering, ASML Optics recently completed a demonstration project confirming that a simplified aspheric design can reduce the cost of goods compared to the existing spherical design. The project involved redesigning an alignment system, requiring that the new aspheric module be completely compatible with the original spherical optical design in terms of optical performance and mechanical alignment within the system. ASML Optics ultimately reduced the number of optical components and material costs for mounts by a factor of 3x, reducing total costs by a factor of 2x. The project team is now performing final qualification of the first prototype and ramping pilot production with plans to begin volume production by Q3 of this year. You can expect to see aspheres being used more often as these improvements in cost and performance objectives are achieved. For more information on how you might take advantage of aspheres for your applications please contact the authors of this article. Single Asphere Replaces Three Spherical Elements Wider Applications of Aspheres Recently there have been advances in molding precision aspheres, for example, as a singlet lens used as a collimator for a diode laser. The lower weight of a singlet lens compared to a multielement spherical lens assembly has been important in compact disc and CD-ROM players. This lens assembly must dynamically track the disc surface during play. In another application, molded faceplates used to reflect projected avionic information in most Helmet Mounted Devices (HMDs) can certainly be considered a high-precision aspheric surface. Typical Spherical Triplet Replaced by Single Asphere The high performance demanded of precision aspheres require that they be fabricated from the highest-optical-quality materials and that they be produced and processed by advanced technology. As a result of technological improvements in recent years, aspheres are increasingly used in high-resolution projection systems. Among current industrial applications are microlithography for the 28 ASML Images Summer 2004

31 ELEVATE YOUR PERFORMANCE WITH ASML OPTICS GAIN THE ASPHERE ADVANTAGE Aspheres provide a rich set of design variables that overcome some of the limits of spherical optics. They often require fewer optical components, creating lighter, smaller and more compact designs that can increase system performance and lower your cost of goods. And because ASML Optics is committed to helping you devise creative solutions, we can design and manufacture on-axis, off-axis, and freeform aspheric optics that satisfy your most demanding challenges. Raise your optical performance to a higher level. Go to Commitment

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006 Intel Special Edition 2006 ASML s customer magazine Moving immersion into production Intel and ASML System flexibility through enhancement packages 6 10 14 3 Editor s note images Colofon 4 The value of

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Demonstrating Commitment in the New Veldhoven Demo Lab

Demonstrating Commitment in the New Veldhoven Demo Lab 2006 Fall Edition ASML s customer magazine Demonstrating Commitment in the New Veldhoven Demo Lab TWINSCAN XT:1900Gi Immersion into production 8 12 18 3 Editor s note images Colofon 4 ASML in the news

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Advanced Mix & Match Using a High NA i-line Scanner

Advanced Mix & Match Using a High NA i-line Scanner Advanced Mix & Match Using a High NA i-line Scanner Jan Pieter Kuijten, Thomas Harris, Ludo van der Heijden ASML, Veldhoven, The Netherlands David Witko, John Cossins, James Foster, Douglas Ritchie ASML,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

DIY fabrication of microstructures by projection photolithography

DIY fabrication of microstructures by projection photolithography DIY fabrication of microstructures by projection photolithography Andrew Zonenberg Rensselaer Polytechnic Institute 110 8th Street Troy, New York U.S.A. 12180 zonena@cs.rpi.edu April 20, 2011 Abstract

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D-73446 Oberkochen, Germany; b ASM Lithography, De Run 1110,

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY .7 NA DUV STEP & SCAN SYSTEM FOR 15nm IMAGING WITH IMPROVED OVERLAY Jan van Schoot, Frank Bornebroek, Manfred Suddendorf, Melchior Mulder, Jeroen van der Spek, Jan Stoeten and Adolph Hunter ASML BV De

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information