Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Size: px
Start display at page:

Download "Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1"

Transcription

1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1

2 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation Reform Act of 1995: the matters discussed during this presentation include forward-looking statements that are subject to risks and uncertainties including, but not limited to, economic conditions, product and pricing, manufacturing efficiencies, new products development, ability to enforce patents, availability of raw materials and critical manufacturing equipment, trade environment, and other risks indicated in filings with the U.S. Securities and Exchange Commission. September 2003 / Slide 2

3 Agenda Market Review Marketplace Strategy Financials Summary September 2003 / Slide 3

4 July semiconductor unit growth flat but ASP s are up... Semiconductor Sales 22 Semiconductors WW Dollars Semiconductors WW Dollars Seasonal Adjusted Semiconductors WW Dollars 3MMA Semiconductors WW Units Jan-97 May-97 Sep-97 Jan-98 May-98 Sep-98 Jan-99 May-99 Sep-99 Jan-00 May-00 Sep-00 Jan-01 May-01 Sep-01 Jan-02 May-02 Sep-02 Semiconductor Sales [B USD] Jan-03 May-03 Sep-03 Semiconductors WW Units Seasonal Adjusted Semiconductors WW Units 3MMA Semiconductor Sales [B Units] 15 Source: WSTS, August 2003 September 2003 / Slide 4

5 Including non memory... IC Sales without Memory 20 WW IC Sales without Memory WW IC Sales- Memory Seasonal Adjusted 10 IC Sales [B USD] WW IC Sales (B$) 3MMA WW IC Units without Memory WW IC Units- Memory Seasonal Adjusted WW IC Sales without Memory (B Units) 3MMA 8 6 Jan-97 May-97 Sep-97 Jan-98 May-98 Sep-98 Jan-99 May-99 Sep-99 Jan-00 May-00 Sep-00 Jan-01 May-01 Sep-01 Jan-02 May-02 Sep-02 Jan-03 May-03 Sep IC Sales [B Units] 4 3 Source: WSTS, August 2003 September 2003 / Slide 5

6 Utilization remains high at all technology nodes - highest at <130 nm and > 500nm 110% 100% 90% 80% 70% 60% 50% 90nm 130nm 180nm 250nm 350nm >500nm 40% Aug-01 Oct-01 Dec-01 Feb-02 Apr-02 Jun-02 Aug-02 Oct-02 Dec-02 Feb-03 Apr-03 Jun-03 F Utilization Source: VLSI Research, May 2003 September 2003 / Slide 6

7 August PMI well above parity supporting recent positive news flows US Purchase Managers Index vs. YoY growth IC Sales 60 60% US Purchase Managers Index PMI Semiconductors WW Dollars YoY Growth 9/11 Jan-97 Jun-97 Nov-97 Apr-98 Sep-98 Feb-99 Jul-99 Dec-99 May-00 Oct-00 Mar-01 Aug-01 Jan-02 Jun-02 Nov-02 Apr-03 Sep-03 Gulf war II SARS 40% 20% 0% -20% -40% -60% YoY Growth Semiconductor Sales September 2003 / Slide 7

8 Review of the Environment June 2003 August 2003 Consumer confidence weak but slightly improving End driver demand still elusive Amount of silicon manufactured slightly up Device prices down Customer margins under pressure About the same Same About the same Improving Same Chipmaker spending under tight scrutiny Same Corporate Q1 performance generally meets or beats expectations Q2 not as good September 2003 / Slide 8

9 Reactions Customers are: Still remaining cautious Still optimizing / maximizing tool utilization Waiting for greater visibility ASML is: Executing on our Marketplace Strategy September 2003 / Slide 9

10 Marketplace Strategy September 2003 / Slide 10

11 Continued Marketplace leadership Leadership in customer satisfaction Leadership in technology Leadership in providing high value drivers for customers September 2003 / Slide 11

12 Customer satisfaction equals customer loyalty Top 10 Large Suppliers of Chip Making Equipment 2003 Rank Company 1 Hitachi High Technologies 2 ASML 3 Dainippon Screen Mfg. Co., Ltd. 4 Tokyo Electron Limited 5 Advantest 6 Novellus Systems, Inc. 7 Agilent Technologies, Inc. 8 ASM International 9 Teradyne, Inc. 10 Canon Source: VLSI Research, June 2003 September 2003 / Slide 12

13 ASML s Technology Leadership nm product evolution - Immersion Lithography Integrated liquid supply system Modified lens Wafer - lens collision prevention Wet sensors Area of change September 2003 / Slide 13

14 Immersion Technology going forward at ASML No show stoppers identified with respect to the technology Twin stage technology provides competitive advantage for immersion solutions! September 2003 / Slide 14

15 Technology Leadership- 157nm Scanners ASML shipped industry s first full field 157nm exposure tool to IMEC Customer interest indicated by 157nm system orders Q2 03 Backlog Value per Technology 157 nm 11% 193 nm 28% i-line 6% ASML will respond to customer production implementation timing of this technology 248 nm 56% September 2003 / Slide 15

16 Today s leading edge Technology customer wins provide tomorrow s rewards Technology Transitions Critical 25% 248Hi Litho Tool Mix Mid Critical 50% Mid Critical 248Lo + 248Lo 248Hi + 248Hi Non critical 25% Lo 248Hi September 2003 / Slide 16

17 Value Driver - Overlay Improvement 5nm Overlay Improvement = >$1 Million 30k Wafers/Month x 501 die/wafer x x $6.50 Full wafer Overlay M+3s = 30 nm Overlay Distribution Spec Limit 5nm overlay improvement Full wafer Overlay M+3s = 25 nm Overlay Distribution Spec Limit Overlay (nm) Rework or Yield Loss 110nm memory example ACTUAL Overlay (nm) 1.15% yield + rework improvement! September 2003 / Slide 17

18 Financials Q September 2003 / Slide 18

19 Total Revenues M Total Semi-annual Q1 Revenues Q2 Q3 Q September 2003 / Slide 19

20 System Revenue per Region H Lithography systems shipments Korea 38% Singapore 4% Europe 16% China 5% Taiwan 2% U.S. 35% September 2003 / Slide 20

21 Backlog: litho unit vs. value Jan 02 Feb 02 Mar 02 Apr 02 May 02 Jun 02 July 02 Aug 02 Sep 02 Oct 02 Nov 02 Dec 02 Jan 03 Feb 03 Mar 03 Apr 03 May 03 Jun 03 Backlog units Backlog value M September 2003 / Slide 21

22 Backlog per June 30, 2003 Total value M 678 Value per type Steppers 1% Scanners 200 mm 32% Value per technology 157 nm 11% i-line 7% 248 nm 43% Scanners 300 mm 67% Korea 24% Value per region China 2% 193 nm 39% Logic 31% Value per end-use R&D 6% Foundry 13% Europe 11% Taiwan 12% Singapore 1% U.S. 50% MPU&MCU 2% Memory 48% September 2003 / Slide 22

23 Continued business focus Year end cash expectation - approx. 1B euro Execute on cost control actions to lower breakeven point Accelerate Cost of Goods reduction program for margin improvement September 2003 / Slide 23

24 Summary September 2003 / Slide 24

25 Summary Continuing positive news is evidence that the industry likely has hit bottom Modest IC industry growth expected in 2003 Customer growth of 8-9% There is delay between their growth and ours We must anticipate market requirements effectively Achieve flexible capacity while controlling costs Reorganize to maximize return Improve financial performance through: Stringent cash management Improved gross margins Continued cost control September 2003 / Slide 25

26 / Slide 26 Commitment

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Leadership Through Innovation Litho for the future

Leadership Through Innovation Litho for the future Leadership Through Innovation Litho for the future Deutsche Bank Access Asia Conference 2010 Singapore Craig De Young VP Investor Relations and Corporate Communications May 12, 2010 Public Safe Harbor

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Credit Suisse European Technology Conference 2008

Credit Suisse European Technology Conference 2008 Credit Suisse European Technoogy Conference 2008 ASML continues to execute its eadership strategy and expects gradua order pick-up Franki D Hoore Director European Investor Reations London, 15 May 2008

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

4Q02 Update: Semiconductor Capacity Still on Hold

4Q02 Update: Semiconductor Capacity Still on Hold Research Brief 4Q02 Update: Semiconductor Capacity Still on Hold Abstract: Semiconductor capacity expansions have gone into a hold mode as soft semiconductor demand drops utilization rates lower. Further

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Commercializing Innovation:

Commercializing Innovation: 2011 International Symposium on Lithography Extensions: Oct 2011 Commercializing Innovation: Lessons from the lithography cycles Risto Puhakka This report has been reproduced for 2011 International Symposium

More information

Briefing Session of Revisions to Consolidated Results Forecasts

Briefing Session of Revisions to Consolidated Results Forecasts Briefing Session of Revisions to Consolidated Results Forecasts Fiscal Year Ending March 31, 2013 March 26, 2013 1 SQUARE ENIX HOLDINGS CO., LTD. Statements made in this document with respect to SQUARE

More information

Moving Boundaries Within Offshore Drilling Pareto's 18th annual Oil & Offshore Conference, Oslo, 31 th August 2011

Moving Boundaries Within Offshore Drilling Pareto's 18th annual Oil & Offshore Conference, Oslo, 31 th August 2011 Moving Boundaries Within Offshore Drilling Pareto's 18th annual Oil & Offshore Conference, Oslo, 31 th August 2011 Michael Boysen Nielsen Maersk Drilling Maersk Drilling s Strategy Maersk Drilling will

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings Archive - Session 1 2015 BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings With Thanks to Our Sponsors! Premier Honored Distinguished Publication Sponsor 2 Proceedings Presentation

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

CLSA Investors Forum 2017

CLSA Investors Forum 2017 CLSA Investors Forum 2017 Grand Hyatt Hong Kong Craig De Young Vice President Investor Relations September 11-15 2017 Forward looking statements Slide 2 This document contains statements relating to certain

More information

Economic & Real Estate Outlook. Tax Reform. Michigan 4/26/18

Economic & Real Estate Outlook. Tax Reform. Michigan 4/26/18 Economic & Real Estate Outlook By Lawrence Yun, Ph.D. Chief Economist, National Association of REALTORS Presentations at Michigan REALTORS Broker Summit Plymouth, MI April 25, 2018 Tax Reform Mortgage

More information

FY rd Quarter Financial Results

FY rd Quarter Financial Results FY2012 3 rd Quarter Financial Results April 1 December 31, 2011 KONAMI CORPORATION February 2, 2012 Cautionary statement with respect to forward-looking statements Statements made in this presentation

More information

2005 First Quarter Presentation

2005 First Quarter Presentation 2005 First Quarter Presentation Safe Harbor This presentation contains statements of a forward-looking nature. These statements are made under the safe harbor provisions of the U.S. Private Securities

More information

Outlook for the World Paper Grade Pulp Market

Outlook for the World Paper Grade Pulp Market Outlook for the World Paper Grade Pulp Market European Conference March 2016 Kurt Schaefer Vice President, Fiber The BSK BHK Price Spread in China Reversed in 2015 1,000 900 800 700 Price Gap (RIGHT) China

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

GLOBAL RIG AND OFFSHORE CONSTRUCTION MARKETS

GLOBAL RIG AND OFFSHORE CONSTRUCTION MARKETS Information Analytics Expertise OCTOBER 214 GLOBAL RIG AND OFFSHORE CONSTRUCTION MARKETS Slowdown on all fronts? Evgenia Kukina, Sr. Product Manager +44 ()231 593 38 Evgenia.kukina@ihs.com BLACK SEA OFFSHORE

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Economic and Real Estate Market Outlook

Economic and Real Estate Market Outlook Economic and Real Estate Market Outlook By Lawrence Yun, Ph.D. Chief Economist, National Association of REALTORS Presentation at Miami International Congress Miami, FL November 1, 2016 Lifetime Wealth

More information

Innovative Approaches in Collaborative Planning

Innovative Approaches in Collaborative Planning Innovative Approaches in Collaborative Planning Lessons Learned from Public and Private Sector Roadmaps Jack Eisenhauer Senior Vice President September 17, 2009 Ross Brindle Program Director Energetics

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Advancing Industry Productivity

Advancing Industry Productivity Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P Safe Harbor Statement This

More information

Recreation Facility Hours

Recreation Facility Hours NORMAL HOURS Recreation Facility Hours August 1, 2016 July 31, 2017 Last Revised: July 29, 2016 Effective Dates 2016: AUG 23 31, SEP 1 2, SEP 6 16, SEP 18 23, SEP 25 30, OCT 1 7, OCT 9 18, OCT 24 30, NOV

More information

1Q 2016 Results. Mermaid Maritime Plc. May 23, 2016

1Q 2016 Results. Mermaid Maritime Plc. May 23, 2016 Mermaid Maritime Plc 1Q 2016 Results May 23, 2016 Disclaimer: The information contained in this document is intended only for use during the presentation and should not be disseminated or distributed to

More information

Results for the Three-Month Period Ended June 30, 2013

Results for the Three-Month Period Ended June 30, 2013 Statements made in this document with respect to SQUARE ENIX HOLDINGS CO., LTD. and its consolidated subsidiaries' (together, SQUARE ENIX GROUP") plans, estimates, strategies and beliefs are forward-looking

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

BACCARAT: A LONGITUDINAL MICRO-STUDY

BACCARAT: A LONGITUDINAL MICRO-STUDY BACCARAT: A LONGITUDINAL MICRO-STUDY FIELD RESULTS FROM ONE ATLANTIC CITY CASINO, JANUARY 2004 TO JUNE 2010 CENTER FOR GAMING RESEARCH, JULY 2010 Baccarat is the most important game in the world s biggest

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Industrials China paper

Industrials China paper Industrials China paper Walden Shing* Analyst The Hongkong and Shanghai Banking Corporation Limited +852 2996 6751 waldenshing@hsbc.com.hk *Employed by a non-us affiliate of HSBC Securities (USA) Inc,

More information

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise The European Semiconductor industry: 2005 Competitiveness Report DG Enterprise EU presentation, Brussels, September 1, 2005 1 EU presentation, Brussels, September 1, 2005 2 EU presentation, Brussels, September

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

Bayport Securitisation (RF) Ltd Investor Report May 2013

Bayport Securitisation (RF) Ltd Investor Report May 2013 Bayport Securitisation (RF) Ltd Investor Report May 2013 Financial statistics for the period ended May 2013 May 13 Apr 13 Mar 13 Feb 13 Jan 13 Dec 12 Nov 12 Oct 12 Sep 12 Aug 12 Jul 12 Jun 12 Performance

More information

NATIONAL INSTITUTE OF ECONOMIC AND SOCIAL RESEARCH ESTIMATES OF MONTHLY GDP. Embargo until hours on 11 th January 2013

NATIONAL INSTITUTE OF ECONOMIC AND SOCIAL RESEARCH ESTIMATES OF MONTHLY GDP. Embargo until hours on 11 th January 2013 NATIONAL INSTITUTE OF ECONOMIC AND SOCIAL RESEARCH ESTIMATES OF MONTHLY GDP Embargo until 15.00 hours on 11 th January 2013 Our monthly estimates of GDP suggest that output declined by 0.3 per cent in

More information

Reference Materials Nine Month Period Ended December 31, 2018

Reference Materials Nine Month Period Ended December 31, 2018 Reference Materials Nine Month Period Ended December 31, 2018 February 5, 2019 SQUARE ENIX HOLDINGS CO., LTD. Statements made in this document with respect to SQUARE ENIX HOLDINGS CO., LTD. and its consolidated

More information

We Value Your Business

We Value Your Business We Value Your Business 1 Freemium Business Model Description Fred Wilson, Venture Capitalist Give your service away for free, possibly ad supported but maybe not, acquire a lot of customers very efficiently

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

2018 1Q IR PRESENTATION

2018 1Q IR PRESENTATION 2018 1Q IR PRESENTATION Investor Relation March 2018 Disclaimer These materials have been prepared by GRAVITY Co., Ltd. ("Gravity" or the "Company"). The statements contained in this presentation have

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Electronic Material Systems

Electronic Material Systems Electronic Material Systems Barry Russell Operating Vice President 1 Safe Harbor Statement Today s presentations may contain forward-looking statements within the meaning of the Private Securities Litigation

More information

FORESIGHT METHOD HORIZONS. Module. Introduction to Foresight for Canada Beyond 150

FORESIGHT METHOD HORIZONS. Module. Introduction to Foresight for Canada Beyond 150 HORIZONS FORESIGHT METHOD for Canada Beyond 50 OVERVIEW Where are we in the process? What is Horizons approach to foresight? How do the foresight tools fit together for Canada Beyond 50? 2 A NEW MODEL

More information

Financial Results Briefing Session

Financial Results Briefing Session Financial Results Briefing Session Six-Month Period Ended September 30, 2017 November 8, 2017 SQUARE ENIX HOLDINGS CO., LTD. Statements made in this document with respect to SQUARE ENIX HOLDINGS CO., LTD.

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK Factbook 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK INTRODUCTION The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

The work underway across the business is beginning to take effect

The work underway across the business is beginning to take effect 06 AGGREKO PLC ANNUAL REPORT AND ACCOUNTS 2016 A personal perspective from our CEO The work underway across the business is beginning to take effect WATCH CHRIS VIDEO ONLINE: ir.aggreko.com/investors/financial-results-centre

More information

Silicon Wafer Demand Forecast Update, 4Q03

Silicon Wafer Demand Forecast Update, 4Q03 Forecast Analysis Silicon Wafer Demand Forecast Update, 4Q03 Abstract: Silicon wafer demand in 2003 will register an 8 percent increase over 2002. Demand will enter an expansion phase in the second quarter

More information

Department of the Navy Perspective on Obsolescence Management

Department of the Navy Perspective on Obsolescence Management Delayed Double Viper BUBs2D5.5 t = 0.0300 t = 0.0502 Department of the Navy Perspective on Obsolescence Management t = 0.1001 t = 0.2005 Nick Kunesh Deputy Assistant Secretary of the Navy (Logistics) 2006

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

FY nd Quarter Financial Results

FY nd Quarter Financial Results FY2013 2 nd Quarter Financial Results April 1 September 30, 2012 KONAMI CORPORATION November 1, 2012 Cautionary statement with respect to forward-looking statements Statements made in this presentation

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

Real Estate Trends and Outlook

Real Estate Trends and Outlook Real Estate Trends and Outlook Lawrence Yun, Ph.D. Chief Economist NATIONAL ASSOCIATION OF REALTORS Presentation at NAR Region XII Conference Coeur d Alene, ID March 5, 2015 GDP Burst in 2014 Q2, Q3, Q4

More information

World Semiconductor Trade Statistics. An Introduction to WSTS

World Semiconductor Trade Statistics. An Introduction to WSTS World Semiconductor Trade Statistics An Introduction to WSTS Table of Contents Contents Mission Membership Merits Meetings More Mission Statement Mission The mission of WSTS is to be the respected source

More information

Housing Market Outlook

Housing Market Outlook Housing Market Outlook By Lawrence Yun, Ph.D. Chief Economist, National Association of REALTORS Presentation at National Conference of State Legislatures Washington, DC December 7, 2018 Very Long Economic

More information

TEST (a) Write these numbers in order of increasing size. 12, 7, 15, 4, 1, 10, Circle all the odd numbers.

TEST (a) Write these numbers in order of increasing size. 12, 7, 15, 4, 1, 10, Circle all the odd numbers. 1 TEST 5 1. Complete the picture so that it has 7 dots. 2. What is the number shown? 0 5 10 3. Fill in the missing numbers. 2 + 3 = 4 1 = (c) 3 + 4 = (d) 4 + = 9 (e) 8 = 3 (f) + 7 = 7 4. Write these numbers

More information

The Global Financial Crisis and Its Impact on China and East Asia

The Global Financial Crisis and Its Impact on China and East Asia The Global Financial Crisis and Its Impact on China and East Asia Lawrence J. Lau, Ph. D. President and Ralph and Claire Landau Professor of Economics The Chinese University of Hong Kong and Kwoh-Ting

More information

Bayport Securitisation (RF) Ltd Investor Report February 2013

Bayport Securitisation (RF) Ltd Investor Report February 2013 Bayport Securitisation (RF) Ltd Investor Report February 2013 Financial statistics for the period ended February 2013 Feb 13 Jan 13 Dec 12 Nov 12 Oct 12 Sep 12 Aug 12 Jul 12 Jun 12 May 12 Apr 12 Mar 12

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

Welcome Shareholders Annual Meeting. FY2014 March 1, 2013 February 28, 2014

Welcome Shareholders Annual Meeting. FY2014 March 1, 2013 February 28, 2014 Welcome Shareholders 2014 Annual Meeting March 1, 2013 February 28, 2014 1 Safe Harbor Statement This presentation contains forward-looking statements within the meaning of safe-harbor provisions of the

More information

Do Mid-Cap Private Equity Funds Have an Advantage in Today s Markets?

Do Mid-Cap Private Equity Funds Have an Advantage in Today s Markets? Do Mid-Cap Private Equity Funds Have an Advantage in Today s Markets? Richard Cashin, Managing Partner, One Equity Partners Ellis Jones, CEO, Wasserstein & Co. John Mapes, Managing Partner, Aurora Capital

More information

Half-Year Press Conference

Half-Year Press Conference Half-Year Press Conference 11 May 2017 Prof. Dr. Michael Kaschke President & CEO ZEISS Group, Half-Year Press Conference 2016/17 Thomas Spitzenpfeil Chief Financial Officer 11 May 2017 Prof. Dr. Michael

More information

The Maize Forum. 17 October Nico Hawkins General Manager

The Maize Forum. 17 October Nico Hawkins General Manager The Maize Forum 17 October 2016 Nico Hawkins General Manager White Maize White Maize: Supply & Demand (National vs. International) (Marketing season) White Maize SAGIS Actual 2015/16 May - Apr NAMC Projection

More information

BAYPORT SECURITISATION (RF) LTD INVESTOR REPORT DECEMBER 2014

BAYPORT SECURITISATION (RF) LTD INVESTOR REPORT DECEMBER 2014 BAYPORT SECURITISATION (RF) LTD INVESTOR REPORT DECEMBER 2014 Financial statistics for the period ended December 2014 Dec 14 Nov 14 Oct 14 Sept 14 Aug 14 Jul 14 Jun 14 May 14 Apr 14 Mar 14 Feb 14 Jan 14

More information

On Measuring Hyperinflation

On Measuring Hyperinflation On Measuring Hyperinflation Steve H. Hanke and Charles Bushnell Key Points Introduction 1 On Error and Deception Figure 1: The fall in the value of the Venezuelan Bolívar: the black market USD/ VEF exchange

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

Active Cable TV Connections. Active Residential Cable Modem Customers. Page FIRST QUARTER REPORT

Active Cable TV Connections. Active Residential Cable Modem Customers. Page FIRST QUARTER REPORT 21-22 FIRST QUARTER REPORT Active Cable TV Connections AFN Cable connections in the business plan are projected to be 2,44 by July 22. As of October 31, 21, there are 1,895 connections, which means AFN

More information

PATENT PROPERTIES ANNOUNCES SECOND QUARTER 2015 RESULTS. Announces Name Change to Walker Innovation Inc.

PATENT PROPERTIES ANNOUNCES SECOND QUARTER 2015 RESULTS. Announces Name Change to Walker Innovation Inc. PATENT PROPERTIES ANNOUNCES SECOND QUARTER 2015 RESULTS Announces Name Change to Walker Innovation Inc. Announces Name Change of its United States Patent Utility Service to Haystack IQ Trial Usage of New

More information

Financial Highlights. Letter to Shareholders. Annual Report

Financial Highlights. Letter to Shareholders. Annual Report A N N U A L R E P O R T 2 0 0 4 The Company s common stock is traded on the SWX Swiss Stock Exchange under the symbol IFCN. T A B L E O F C O N T E N T S Financial Highlights Letter to Shareholders Annual

More information

Second Quarter CY 2012 Results. August 2, 2012

Second Quarter CY 2012 Results. August 2, 2012 Second Quarter CY 2012 Results August 2, 2012 1 Safe Harbor Disclosure Please review our SEC filings on Form 10-K and Form 10-Q The statements contained in this presentation that are not historical facts

More information

SILICA OPTICAL WAVEGUIDE DEVICES

SILICA OPTICAL WAVEGUIDE DEVICES SILICA OPTICAL WAVEGUIDE DEVICES Splitter Module A single mode 1xn splitter has one input and multiple outputs (n) for dividing an optical signals SPECIFICATION Model No. 1x n Insertion loss Typical Maximum

More information

Site Surveys for Offshore Windfarms: How to Spend your Money Wisely in an Age of Austerity

Site Surveys for Offshore Windfarms: How to Spend your Money Wisely in an Age of Austerity Site Surveys for Offshore Windfarms: How to Spend your Money Wisely in an Age of Austerity Richard Orren, Global Technical Head, Director Mark Finch, Geotechnical Director Senergy Survey and GeoEngineering

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Demonstration of PFR Improvement September ERCOT Operations Planning

Demonstration of PFR Improvement September ERCOT Operations Planning Demonstration of PFR Improvement September 2017 ERCOT Operations Planning Agenda Overview BAL-001-TRE-1 Standard Applicability to Alternative Resources Ancillary Service Products & Dispatch Improvements

More information

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Keith Best Biography Over the last 27 years, Keith Best has held a variety of semiconductor processing

More information

TAIWAN EXCHANGE STOCK NO : December 26,2018

TAIWAN EXCHANGE STOCK NO : December 26,2018 TAIWAN EXCHANGE STOCK NO : 2434 December 26,2018 1 CONTENT DISCLAIMER STATEMENT COMPANY STATEMENT Q3 2018 BALANCE SHEET(CONSOLIDATED) FUTURE PRODUCT DEVELOPMENT Q&A 2 DISCLAIMER STATEMENT Our discussion

More information

Okayama Technology Center 7. TAZMO Apprecia Formosa Inc. 8. TAZMO Apprecia Electronics (Shanghai) Inc. 9

Okayama Technology Center 7. TAZMO Apprecia Formosa Inc. 8. TAZMO Apprecia Electronics (Shanghai) Inc. 9 Contents Corporate Principles 3 Organization 4 Milestones 5 Company Information 6 Okayama Technology Center 7 TAZMO Apprecia Formosa Inc. 8 TAZMO Apprecia Electronics (Shanghai) Inc. 9 Products 10 Our

More information

January 2018 Industrial Production

January 2018 Industrial Production Japan's Economy 28 February 2018 (No. of pages: 6) Japanese report: 28 Feb 2018 January 2018 Industrial Production Jan-Mar period expected to see lull in production growth trend Economic Research Dept.

More information

Sony IR Day Game & Network Services Segment. November 25, Andrew House

Sony IR Day Game & Network Services Segment. November 25, Andrew House Sony IR Day 2014 November 25, 2014 Andrew House President and Group CEO Sony Computer Entertainment Inc. Group Executive in Charge of Network Entertainment Business Sony Corporation Agenda 1. Business

More information

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE Tianniu Rick Chen, Ph.D. General Manager SP&C Business (Surface Preparation & Cleans) OUTLINE Market drivers and challenges

More information

August 7, 2014 Heiwa Corporation

August 7, 2014 Heiwa Corporation August 7, 2014 Heiwa Corporation Contents Overview 3 1 st Quarter Results of FY Ending March 2015 4 Full-year Plan for FY2015 12 < Supplementary Information > Market Environment (Pachinko and Pachislot

More information

2Q 2016 Results. Mermaid Maritime Plc. August 2016

2Q 2016 Results. Mermaid Maritime Plc. August 2016 Mermaid Maritime Plc 2Q 2016 Results August 2016 Disclaimer: The information contained in this document is intended only for use during the presentation and should not be disseminated or distributed to

More information

Economic & Housing Outlook

Economic & Housing Outlook Economic & Housing Outlook By Lawrence Yun, Ph.D. Chief Economist, National Association of REALTORS Presentation at Northern Arizona Association of REALTORS November 30, 2017 Tax Reform Mortgage interest

More information

1 st Quarter 2012 Results

1 st Quarter 2012 Results British American Tobacco (Malaysia) Berhad 1 st Quarter 2012 Results Analyst Presentation 23 April 2012 Agenda Industry Review, Market Share and Volumes BATM Review Competitor Review Quarter 1, 2012 Results

More information

Number patterns on a spreadsheet

Number patterns on a spreadsheet A1 SS Number patterns on a spreadsheet This sheet will help you to create your own number patterns on a spreadsheet. Do the steps one at a time. You will soon feel more confident with a spreadsheet program.

More information