Technology transfer and business development for innovation projects. From local market to global industry.

Size: px
Start display at page:

Download "Technology transfer and business development for innovation projects. From local market to global industry."

Transcription

1 Technology transfer and business development for innovation projects. From local market to global industry.

2 Well positioned for innovative business development Fab и Lab R&D Multifunctional complex of microelectronics based on MEMS, CMOS Assembly line, 3D-assemly (TSV). Center for Testing and certification. Prototyping and technical expertise. Providing scientific and research development. Design center IC design, SoC, system on package, reverse design. Technology company and start-up funding Business Development. Funding of innovation projects. Attracting of strategy and industrial partners. Integration development, international cooperation. 2

3 TASKS AND OBJECTIVES NEW TECHNOLOGY SOLUTIONS FOR MODERN ELECTRONICS MEMS sensors based on AMR and GMR effect MEMS gyroscopes and accelerometers Piezoresistive MEMS sensors Data processing VLSI Sensors and multifunctional blocks, multichip assembly INTELLIGENT SENSORS MULTICHIP ASSEMBLY SMART-SYSTEMS ROBOTECHNICS. Development of infrastructure for production of special-purpose Electronic Component Base Development of full dielectric isolation for high temperature and radiation-resistant Electronic Component Base Systems on a chip Speedup times 3D multichip assembly, Component density speedup times 3

4 Technology infrastructure IC, MEMS and NEMS SoC and SiP manufacturing ASSEMBLY LINE (SiP and 3D technology) TESTING LINE FAB-LITE CMOS and MEMS technology KEY TECHNOLOGY LINES IC DESIGN MEMS and NEMS R&D PHOTOMASKS production line (current technology level 180 nm, transition to 90 nm)

5 Infrastructure for development and Production of Electronic Component Base Designing VLSI, MEMS Systems on a chip Production Microchips, MEMS Packaging, 3D assembly Tests, control and measurements Integrated projects, licensed production Design center : Chip production: - Designing of semicustom compound LSI - Development of magnetoresistive sensors - Development of systems control blocks - Reverse engineering - Feature size: - 0,25 μm- 0,18 μm - Capacity: 600 chips pm. - CMOS- and MEMStechnologies - Systems on a chip, multifunctional blocks High-accuracy assembling in ceramic-metal frames. Systems in Package, 3d TSV, Tests of electrical and functional parameters 5 invention patents Developed basic technologies for production of sensors Design and production of analog and compound integrated circuits and multifunctional blocks. High-temperature electronics. 3d assembly. Functional Electronic Component Base products

6 ALREADY DEVELOPED: Center for Design and manufacturing of photomasks COMPETENCIES: Fragment of 50 nm topological structure Electron-beam generator Design and production of binary photomasks for projection contact lithography (up to 180 nm technology node). Direct lithography of IC critical layers by electron beam. TECHNOLOGIES: Development of photomasks for IC production ( nm technology node) (RET technologies) Capacity of Center: 1500 photomasks per year. Calculation and modeling of OPC elements Fragment of topology with OPC elements PROSPECTIVE LINES OF DEVELOPMENT: CUSTOMERS AND PARTNERS: 65 nm technology node EXPECTED RESULTS: Minimum feature on photomask - 80 nm; Overlay accuracy of topological layers 30 nm; Defects 100 nm 7

7 Chip production (CMOS IC and MEMS) Technology line Photolithography: Projection photolithography: 0,25 μm, Contact photolithography: 0,6 (double-sided is available) Chemical treatment Infrastructure for ECB development and production Packaging, 3D assembly Production operations IC chip assembly in frames. Sensors, MEMS assembly in ceramicmetal frames. Multichip modules. 3D assembly (TSV). Research and analytical center Test complex Qualification and periodic tests. Measurement and of electric and functional parameters. Moisture content analysis Diffusion/Deposition Thermal atmosphere equipment. Vapour deposition equipment LPCVD и PECVD. Atomic layer deposition. ь Certification and accreditation Government Defense Standard Certificate ь Certification and accreditation Government Standard Certificate allows to test domestic and foreign ECB. Plasma-chemical etching: Plasma-chemical etching of dielectric layers, metal films, bosh-process; ion etching, sacrificing layer remove (SiO2). Metal films depositing PVD depositing of multilayer structures with AMR and GMR effect. Magnetron deposition of multilayer metal and dielectric layers Ion implantation Line characteristics Production up to items per month. Analytic investigation Atomic force microscopy. Cell culture research Probe microscopy 8

8 ALREADY DEVELOPED: physical magnitude MEMS sensors AUTOMOBILE SENSORS SPECIALIZED APPLICATION magnetoresistiv e sensor speed sensor encoder position sensor COMPETENCE IN ECB DESIGN : Spintronics Vacuum electronics Element base for integrated optics ANALYTIC INVESTIGATION Elemental composition measurement: auger spectrometry, secondary ion mass-spectrometry, X-Ray analysis. Dimensions measurement: Dual Beam, raster electron microscopy, profilometry. Optical behavior measurement: ellipsometry. ADVANCED PROJECTS: Development of magnetic materials for radiation-resistant memory elements. Twinaxial sensor for navigation systems. ECB DEFENSE TECHNOLOGY AND WEAPON: Electrooptical microsystem module for warning of external exposure. Nano-column magnetic heterostructures for the development of microwave nanoscale generators 9

9 ALREADY DEVELOPED: Fast-rotating inertial orientation system MEMS accelerometers MEMS dip needles MEMS gyroscopes ITEMS EXAMPLES: MEMS gyroscopes and accelerometers DEVELOPMENT PROSPECTS: Development of domestic inertial navigation system Development of miniature position and orientation systems High-accuracy micromechanical dip needles Precision micromechanical gyroscopes with null drift 1 per hour Precision micromechanical accelerometers, with null drift 10 ug. Operating systems for autonomous robotic platforms CUSTOMERS AND PARTNERS: Multichip inertial navigation module MEMS acceleromete r MEMS gyroscope COMPETENCE IN ELEMENT BASE DESIGN: Inertial sensor units Experimental model of fast-rotating inertial orientation system Inertial sensor unit Stabilization systems Orientation and navigation systems 10

10 IC Design center DEVELOPMENT: VLSI for primary conversion of signals from optical, magnetic, capacitance, resistive sensors. Smart sensors VLSI : o Capacitance sensors of speed-up and moving. o Resistive sensors of pressure and temperature. o Magnetic encoders of location and angular displacement o Optical smoke sensor. o 16-bit microcontrollers DEVELOPMENT PROSPECTS: Development of high temperature and radical use electronics. Development of VLSI for engine control. CUSTOMERS AND PARTNERS: ADDITIONAL FEATURES: Staff training in design of electronic instrumentation with the use of CAD of leading global companies. Ability to share CAD Cadence, Synopsys, Metntor Graphics according to license agreements RESISTANT ECB FOR DEFENSE TECHNOLOGY AND WEAPON: IC for development of small-sized meteorological stations, и pressure/temperature sensors on the basis of resonant detector elements IC for processing and signal transformation from gyroscopes and accelerometers 11

11 IC integration. Way to grouth Logic, didgital-analog 3D SiP / SoC МEМS 12

12 Well positioned for innovative business development Comprehensive facilities Breakthrough projects International partnerships Special economic terms Exceptional team Entrepreneurial spirit

13 Our Services: Infrastructure (office space, meeting room, lab facilities, research and manufacturing equipment). Business services (help with registration, licenses, accounting, strategy advice, market research, partner search, internationalization). Financing (equity investment, credit and guarantees). Participation in international cooperation programs and traineeships. People connectivity (coaching and interaction with fellow entrepreneurs (a microcluster), market linkages. Invest Department provides startups business support, Funding of innovation companies assistance in commercializing results of their R&D in the nanotechnology, microelectronic, biotech and cleantech and other technologies, attracts investors and industrial partners.

14 Nano- and microelectronics Our specialisation R&D and small scale manufacturing of VLSI and SoC. Systems of control, process of information with the usage of MEMS- and NEMS-sensors for automobiles. Navigation systems and Intellectual systems control based on MEMS-gyroscopes and accelerometers for aviation. Electronic and component base for special purpose. New materials and structures Systems on the base of matrix micro-mirrors. 3D-technologies, manufacturing of micro- and nanoelectronics. Magnetoresistive memory For additional information go to

15 Key projects Green Tech Power saving solutions. Nonvolatile systems on the base of piezoelectric generator. Development of modules of liquids cleaning. BioTech Electronics for medicine. Technologies and equipment for sequenation of DNA/RNA. Biotechnologies for medicine and vaccinology. Research of cell structures. For additional information go to

16 START-UP SPECIALIZATIONS Total investments in start-ups 80,0+ million rubles 17

17 3D printer Designer PICASO «SPE IIS» company was established in 2011 by students of MIET with assistance and funding and of the Zelenograd nanotechnology center. Product: a device for rapid 3-D prototyping (3-D printer), which makes possible to develop samples of different models. Description and advantages: Accuracy of printing - 50 nm Printing speed - 30 cub cm Custom Price Well organized distribution system It is planned to organize a plastic wire production with the usage of special nanotube additions for Unattached device for rapid prototyping.

18 Nanoelectronic systems «Nanoelectronic systems» company was established by development team of chair «MPSE» (materials and proceses of solid-state electronics) MIET with the participation of Zelenograd nanotechnology center. Project: Non-volatile system for railways control. Product: Non-volatile sensors are «listening» to the sound of forthcoming train and alerting real-time about rail breaks. Sensors are recharging from piezoelectric generators, which work from mechanical pressure of the forthcoming train.

19 Nanocrystal «Nanocrystal» company was established by development team of chair «MPC» (material engineering and physical chemistry) MIET with the participation of Zelenograd nanotechnology center in 2010 according to the 217 Federal Law. Project: Flexible solar cells on the base of nanostructured materials. Product: Photovoltaic transducer: - Thin-film component (thickness 1 mkn) - Flexible (non-silicon based) structure - Efficiency 8% Value proposition: economy of energy, convenience in installation and environment-friendly nanostructured materials. Fields of application: portable electronic devices, portable rechargers on the base of solar cells, special electronic equipment.

20 WHAT WE SEEK 1. Joint commercial R&D projects 3. Industrial cooperation 2. Technology partnership 4. Funding for startups

21 Ready for cooperation Anatoly A. Kovalev CEO Cell +7 (916) Phone. +7 (499) Fax +7 (499) «Zelenograd nanotechnology center» Moscow, Zelenograd, passage 4806, h.5, bld.23 We are ready to collaborate!

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

Development of infrastructure for 3D TSV packaging technology at the facilities of Zelenograd Nanotechnology Center

Development of infrastructure for 3D TSV packaging technology at the facilities of Zelenograd Nanotechnology Center Development of infrastructure for 3D TSV packaging technology at the facilities of Zelenograd Nanotechnology Center SEMICON Russia, June 6, 2013 Session II: 3D Packaging and TSV Project in Russia: Overview

More information

Consortium Capabilities

Consortium Capabilities Consortium Capabilities The driver in advanced materials development is to create products with competitive advantages. Products must continuously become faster, lighter and cheaper and must provide additional

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Emerging Technologies

Emerging Technologies Emerging Technologies & Security Dr. Richard Van Atta Introduction to Emerging Technologies Panel PACOM Operational S&T Conference July 16, 2008 Assessing Emerging Tech Understanding emerging technologies

More information

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS WHO ARE WE? XTPL S.A. is a company operating in the nanotechnology segment. The interdisciplinary team of XTPL develops on a global scale

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Introduction to Microdevices and Microsystems

Introduction to Microdevices and Microsystems PHYS 534 (Fall 2008) Module on Microsystems & Microfabrication Lecture 1 Introduction to Microdevices and Microsystems Srikar Vengallatore, McGill University 1 Introduction to Microsystems Outline of Lecture

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

electronics for computer engineering (Sensor) by KrisMT Computer Engineering, ICT, University of Phayao

electronics for computer engineering (Sensor) by KrisMT Computer Engineering, ICT, University of Phayao 305222 electronics for computer engineering (Sensor) by KrisMT Computer Engineering, ICT, University of Phayao ห วข อ Sensor =? Each type of sensor Technology Interpolation Sensor =? is a device that measures

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Nanostencil Lithography and Nanoelectronic Applications

Nanostencil Lithography and Nanoelectronic Applications Microsystems Laboratory Nanostencil Lithography and Nanoelectronic Applications Oscar Vazquez, Marc van den Boogaart, Dr. Lianne Doeswijk, Prof. Juergen Brugger, LMIS1 Dr. Chan Woo Park, Visiting Professor

More information

Innovative technology cluster Zelenograd. Director of Technology Development Vladimir Leontiev

Innovative technology cluster Zelenograd. Director of Technology Development Vladimir Leontiev Innovative technology cluster Zelenograd Director of Technology Development Vladimir Leontiev Location of Zelenograd Cluster «Technounity» Innovative technology cluster Zelenograd (Moscow, Zelenograd)

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS. Application Area. Quality of Life

FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS. Application Area. Quality of Life FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS Application Area Quality of Life Overlay image of visible spectral range (VIS) and thermal infrared range (LWIR). Quality of Life With extensive experience

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

microelectronics services high-tech requires high-precision microelectronics services

microelectronics services high-tech requires high-precision microelectronics services ELECTRICAL & ELECTRONICS microelectronics services high-tech requires high-precision microelectronics services WORLDWIDE Analysis, TESTING & CERTIFICATION LOCALLY AVAILABLE worldwide Electrical and electronic

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

Fault Diagnosis Algorithms Part 2

Fault Diagnosis Algorithms Part 2 Fault Diagnosis Algorithms Part 2 By Christopher Henderson Page 1 Fault Diagnosis Algorithms Part 2 Page 5 Technical Tidbit Page 8 Ask the Experts Figure 4. Circuit schematic. This is an example of a circuit

More information

IBM Research - Zurich Research Laboratory

IBM Research - Zurich Research Laboratory October 28, 2010 IBM Research - Zurich Research Laboratory Walter Riess Science & Technology Department IBM Research - Zurich wri@zurich.ibm.com Outline IBM Research IBM Research Zurich Science & Technology

More information

The Cornell NanoScale Facility: NNCI Overview

The Cornell NanoScale Facility: NNCI Overview The Cornell NanoScale Facility: NNCI Overview Prof. Christopher Ober Lester B. Knight Director CNF: founded 1977 CNF Highlights 2017 is CNF s 40 th Anniversary as an NSF funded User Facility Using NNCI

More information

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation 238 Hitachi Review Vol. 65 (2016), No. 7 Featured Articles Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation AFM5500M Scanning Probe Microscope Satoshi Hasumura

More information

300mm BEOL manufacturing capabili=es in Russia. November 2017 CNE PROPRIETARY

300mm BEOL manufacturing capabili=es in Russia. November 2017 CNE PROPRIETARY 300mm BEOL manufacturing capabili=es in Russia November 2017 About CNE CNE is a pure-play 300mm BEOL Foundry with state of the art equipment, advanced process technologies and capabiliees Crocus Nano Electronics

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

Model of Open Innovation IMEC IIAP: a View from Russia

Model of Open Innovation IMEC IIAP: a View from Russia "Again I say to you, that if two of you agree on Earth about anything that they may ask, it shall be done for them by My Father who is in Heaven. Holy Bible, Matthew 18:19 It seems like for the time being

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 1: Definition

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

STRATEGIC ACTIVITY PLAN

STRATEGIC ACTIVITY PLAN STATE FUNDED RESEARCH INSTITUTE CENTER FOR PHYSICAL SCIENCES AND TECHNOLOGY Appropriation manager code 302496128 2017-2019 STRATEGIC ACTIVITY PLAN I. MISSION AND STRATEGIC CHANGES MISSION Implementation

More information

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Ministry of Industry and Information Technology National Development and Reform Commission Ministry of Finance

More information

Heterogeneous Technology Alliance. SOI MEMS Platform

Heterogeneous Technology Alliance. SOI MEMS Platform Heterogeneous Technology Alliance SOI MEMS Platform Added value of HTA SOI MEMS Platform to customers 23-Aug-11 Page 1 Attractive offering of HTA SOI MEMS Platform One-stop shop 1 Very extensive R&D resources,

More information

Sensors and actuators at NXP: bringing more than Moore to CMOS

Sensors and actuators at NXP: bringing more than Moore to CMOS Sensors and actuators at NXP: bringing more than Moore to CMOS Joost van Beek Senior Principal Scientist Corporate R&D, NXP Semiconductors Presented at the International Symposium on Advanced Hybrid Nano

More information

GLOBAL MARKETS, TECHNOLOGIES AND MATERIALS FOR THIN AND ULTRATHIN FILMS

GLOBAL MARKETS, TECHNOLOGIES AND MATERIALS FOR THIN AND ULTRATHIN FILMS GLOBAL MARKETS, TECHNOLOGIES AND MATERIALS FOR THIN AND ULTRATHIN FILMS SMC057C August Margareth Gagliardi Project Analyst ISBN: 1-62296-338-5 BCC Research 49 Walnut Park, Building 2 Wellesley, MA 02481

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China Challenges and opportunities for Designs in Nanotechnologies International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China Sankar Basu Program Director Computing

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

1.1 PHILOSOPHY OF MICRO/NANOFABRICATION

1.1 PHILOSOPHY OF MICRO/NANOFABRICATION CHAPTER Introduction 1 C H A P T E R C O N T E N T S 1.1 Philosophy of Micro/Nanofabrication... 1 1.2 The Industry Science Dualism... 5 1.3 Industrial Applications... 8 1.4 Purpose and Organization of

More information

Open Innovation to Manage Risks in Technology The Business of Breakthroughs

Open Innovation to Manage Risks in Technology The Business of Breakthroughs Open Innovation to Manage Risks in Technology The Business of Breakthroughs Janos Veres, Program Manager 2016 PARC, All rights reserved. PARC Legacy: A Storied History of Inventing the Future 1970 1973

More information

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University NanoFabrication Kingston Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University What is NFK? It s a place, an team of experts and a service. The goal of

More information

Visvesvaraya Technological University, Belagavi

Visvesvaraya Technological University, Belagavi Time Table for M.TECH. Examinations, June / July 2017 M. TECH. 2010 Scheme 2011 Scheme 2012 Scheme 2014 Scheme 2016 Scheme [CBCS] Semester I II III I II III I II III I II IV I II Time Date, Day 14/06/2017,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Instructor: Prof. Clark T.-C. Nguyen EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley

More information

BioInstrumentation Laboratory

BioInstrumentation Laboratory BioInstrumentation Laboratory Ian Hunter Vienna, May 22 2013 BioInstrumentation Lab, Mechanical Engineering, MIT - Robotic endoscopes - Needle-free drug delivery devices - Eye micro-surgery robots - High

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

research in the fields of nanoelectronics

research in the fields of nanoelectronics FRAUNHOFEr center Nanoelectronic Technologies research in the fields of nanoelectronics 1 contents Fraunhofer CNT in Profile 3 Competence Areas Analytics 4 Functional Electronic Materials 5 Device & Integration

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

RF MEMS Simulation High Isolation CPW Shunt Switches

RF MEMS Simulation High Isolation CPW Shunt Switches RF MEMS Simulation High Isolation CPW Shunt Switches Authored by: Desmond Tan James Chow Ansoft Corporation Ansoft 2003 / Global Seminars: Delivering Performance Presentation #4 What s MEMS Micro-Electro-Mechanical

More information

Canada s National Design Network. Community Research Innovation Opportunity

Canada s National Design Network. Community Research Innovation Opportunity Canada s National Design Network Community Research Innovation Opportunity Over the past five years, more than 7000 researchers in the National Design Network have benefited from industrial tools, technologies,

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

Energy & Space. International Presentations

Energy & Space. International Presentations Energy & Space International Presentations 2012-2013 Advanced Electronics 3D Printed Circuit Boards 3D Printed Circuit Boards for Solder-Free Printable Electronics 4x4 Vehicles Arduino WiFi Android Controllers

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

Здра вствуйте, това рищи!

Здра вствуйте, това рищи! Manufacturing of Smart Objects by Printing Technologies Здра вствуйте, това рищи! Moscow / RUS, June 05, 2013 Reinhard R. Baumann Chemnitz University of Technology Chair of Digital Printing Fraunhofer

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Revolutionary Technology for Lithography. Vadim Rakhovskiy Nanotech SWHL Gmbh Dubendorf, Switzerland

Revolutionary Technology for Lithography. Vadim Rakhovskiy Nanotech SWHL Gmbh Dubendorf, Switzerland Revolutionary Technology for Lithography Vadim Rakhovskiy rakhvi@gmail.com Nanotech SWHL Gmbh Dubendorf, Switzerland WHAT WE OFFER Revolutionary photolithography for production of IC, MEMS, Sensors Based

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

Micro-Nanofabrication

Micro-Nanofabrication Zheng Cui Micro-Nanofabrication TECHNOLOGIES AND APPLICATIONS ^f**"?* ö Springer Higher Education Press -T O Table of Content Preface About the Author Chapter 1 Introduction 1 1.1 Micro-nanotechnologies

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 1: Definition

More information

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018. ASCENT Overview MOS-AK Workshop, Infineon, Munich, 13 th March 2018 European Nanoelectronics Infrastructure Access Paul Roseingrave The Challenge Cost/performance returns by scaling are diminishing Cost

More information

Moving from Nano-Ideas to Nanomanufacturing. Alan Rae February 2008

Moving from Nano-Ideas to Nanomanufacturing. Alan Rae February 2008 Moving from Nano-Ideas to Nanomanufacturing Alan Rae February 2008 Topics What s different in nanomanufacturing? Technology development and acquisition Commercialization issues Some examples of products

More information

Digital innovation competences = apprx. 1/3 of income TRL 1-8 specific focus TRL 4+

Digital innovation competences = apprx. 1/3 of income TRL 1-8 specific focus TRL 4+ (since 1949) The largest Slovenian scientific research institute in this part of Europe 1000 employes, 420 ongoing international projects Slovenia on EU KET and DIH map Key Enabling Technologies Technology

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Advanced ACTPol Multichroic Horn-Coupled Polarimeter Array Fabrication on 150 mm Wafers

Advanced ACTPol Multichroic Horn-Coupled Polarimeter Array Fabrication on 150 mm Wafers Advanced ACTPol Multichroic Horn-Coupled Polarimeter Array Fabrication on 150 mm Wafers Shannon M. Duff NIST for the Advanced ACTPol Collaboration LTD16 22 July 2015 Grenoble, France Why Long-λ Detectors

More information

Technology transfer and role of University in sustainable regional development: evidence from Sverdlovsk region

Technology transfer and role of University in sustainable regional development: evidence from Sverdlovsk region November 30 th 2018 Technology transfer and role of University in sustainable regional development: evidence from Sverdlovsk region Victor Koksharov Rector of UrFU Stages of technological development of

More information

the world leader in metrology of piezoelectrics expanding into new areas!

the world leader in metrology of piezoelectrics expanding into new areas! aixacct Systems GmbH Talbotstr. 25 52068 Aachen Germany Phone: +49 (0) 241-47 57 03 0 Fax: +49 (0) 241-47 57 03 66 www.aixacct.com info@aixacct.com Welcome to aixacct Systems, the world leader in metrology

More information

MEMS Processes at CMP

MEMS Processes at CMP MEMS Processes at CMP MEMS Processes Bulk Micromachining MUMPs from MEMSCAP Teledyne DALSA MIDIS Micralyne MicraGEM-Si CEA/LETI Photonic Si-310 PHMP2M 2 Bulk micromachining on CMOS Compatible with electronics

More information

SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL

SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL Shailesh Kumar, A.K Meena, Monika Chaudhary & Amita Gupta* Solid State Physics Laboratory, Timarpur, Delhi-110054, India *Email: amita_gupta/sspl@ssplnet.org

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

EU-India collaboration in the SME sector Turning environmental challenges into opportunities. 22 July 2010, New Delhi

EU-India collaboration in the SME sector Turning environmental challenges into opportunities. 22 July 2010, New Delhi EU-India collaboration in the SME sector Turning environmental challenges into opportunities 22 July 2010, New Delhi Agenda 1. small companies, Big Impact: EU SMEs ever increasing role in innovation &

More information

Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market

Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market Dr Julien Arcamone MEMS Business development Manager, CEA-LETI julien.arcamone@cea.fr MEMS

More information

Organic and flexible Electronics in Saxony www.invest-in-saxony.com WElCOME Organic electronics are based on the discovery that specific organic materials possess semiconducting properties. Functional

More information

Sunrise Valley bringing business and science together

Sunrise Valley bringing business and science together Sunrise Valley bringing business and science together info@sunrisevalley.lt Sauletekio al. 15, 10224 Vilnius, Lietuva/Lithuania Tel. +370 5 250 06 01 Fax. +370 5 250 06 03 http://www.sunrisevalley.lt Integrated

More information

Cutting-edge Atomic Force Microscopy techniques for large and multiple samples

Cutting-edge Atomic Force Microscopy techniques for large and multiple samples Cutting-edge Atomic Force Microscopy techniques for large and multiple samples Study of up to 200 mm samples using the widest set of AFM modes Industrial standards of automation A unique combination of

More information

Engineering NSF Budget and Priorities

Engineering NSF Budget and Priorities Engineering Directorate @ NSF Budget and Priorities Pramod Khargonekar Assistant Director for Engineering National Science Foundation Presentation ASEE Engineering Research Council March 17, 2014 Directorate

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

Layout Analysis Floorplan

Layout Analysis Floorplan Sample Report Analysis from a Touch Screen Controller For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500,

More information

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics February 10, 2011 Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics Presentation overview -General overview -Research focus < 4 Holst Centre: a solid partner in research Independent,

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

Reducing MEMS product development and commercialization time

Reducing MEMS product development and commercialization time Reducing MEMS product development and commercialization time Introduction Fariborz Maseeh, Andrew Swiecki, Nora Finch IntelliSense Corporation 36 Jonspin Road, Wilmington MA 01887 www.intellisense.com

More information

More Imaging Luc De Mey - CEO - CMOSIS SA

More Imaging Luc De Mey - CEO - CMOSIS SA More Imaging Luc De Mey - CEO - CMOSIS SA Annual Review / June 28, 2011 More Imaging CMOSIS: Vision & Mission CMOSIS s Business Concept On-Going R&D: More Imaging CMOSIS s Vision Image capture is a key

More information

Putting It All Together: Computer Architecture and the Digital Camera

Putting It All Together: Computer Architecture and the Digital Camera 461 Putting It All Together: Computer Architecture and the Digital Camera This book covers many topics in circuit analysis and design, so it is only natural to wonder how they all fit together and how

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

N e w s R e l e a s e

N e w s R e l e a s e N e w s R e l e a s e Infineon Austria achieves double-digit growth and takes the vanguard of Industry 4.0 in Austria year-end statement for fiscal year 2014 New Management Board team since April 2014

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

1 Introduction 1.1 HISTORICAL DEVELOPMENT OF MICROELECTRONICS

1 Introduction 1.1 HISTORICAL DEVELOPMENT OF MICROELECTRONICS 1 Introduction 1.1 HISTORICAL DEVELOPMENT OF MICROELECTRONICS The field of microelectronics began in 1948 when the first transistor was invented. This first transistor was a point-contact transistor, which

More information

Flexible Substrates and SCB-Technology

Flexible Substrates and SCB-Technology Flexible Substrates and SCB-Technology Substrate Technology As requirements are increasing, so are electronic systems becoming smaller and smaller and more complex. In its role as innovative forerunner

More information

COMPUTER SCIENCE AND ENGINEERING

COMPUTER SCIENCE AND ENGINEERING COMPUTER SCIENCE AND ENGINEERING Internet of Thing Cloud Computing Big Data Analytics Network Security Distributed System Image Processing Data Science Business Intelligence Wireless Sensor Network Artificial

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

NanoOptics: Illuminating Nanostructures

NanoOptics: Illuminating Nanostructures NanoOptics: Illuminating Nanostructures Martin Moskovits Chief Technology Officer, API Nanotronics Corp. 2009 International Conference on Nanotechnology for the Forest Products Industry Edmonton, Alberta,

More information

Developing Smart Miniaturized Solutions for Industry

Developing Smart Miniaturized Solutions for Industry Developing Smart Miniaturized Solutions for Industry Micro nanotechnologies & Embedded Software Competitive Cluster - Grenoble / France www.minalogic.com Born in Grenoble 1 st french scanner (1972) Airbag

More information

INDIAN INSTITUTE OF TECHNOLOGY BOMBAY

INDIAN INSTITUTE OF TECHNOLOGY BOMBAY IIT Bombay requests quotations for a high frequency conducting-atomic Force Microscope (c-afm) instrument to be set up as a Central Facility for a wide range of experimental requirements. The instrument

More information