Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Size: px
Start display at page:

Download "Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools"

Transcription

1 Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012

2 Presentation Summary 2 An introduction to STMicroelectronics The importance of Moore s Law technologies Opportunities in Moore than Moore Re-using existing 200mm assets Observations and requirements for sourcing second hand tools Conclusions Presentation Title

3 About ST A global semiconductor leader The largest European semiconductor company 2011 revenues of $9.73B (1) Approx. 53,000 employees worldwide (1) 12,000 people working in R&D 12 manufacturing sites Listed on New York Stock Exchange, Euronext Paris and Borsa Italiana, Milano (1) Including ST-Ericsson, a 50:50 joint venture with Ericsson

4 Q1 12 revenues by product segments 4 Wireless Automotive (APG) 14% 19% Digital 17% 13% 38% Power Discrete (PDP) Analog, MEMS & Microcontrollers (AMM) *See appendix

5 Technology Competitive Advantage «Moore s Law»: Miniaturization Baseline CMOS: CPU, Memory, Logic 130nm 90nm 65nm 45nm 32nm 22nm «More than Moore»: Diversification Analog / RF Passives HV Power SoC Information processing Digital Content System-on-chip SiP Sensors, Actuators Interacting with people & environment Non-digital content System-in-package Biochips Beyond CMOS: Quantum Computing, Molecular Electronics, Spintronics VLSI PLATFORM

6 Leader in Moore s Law Technologies Prototyping start 2011/ / /16 CMOS LOGIC 40nm/28/28FDSOI 20nm/20FDSOI 14nm CMOS Analog Mixed Signal/RF 55/40nm 28nm 20nm CMOS Embedded non-volatile memory* 80/55nm 40nm 28nm * Logic with Embedded Memories

7 And More than Moore Technologies Prototyping start 2011/ / /16 BIPOLAR CMOS DMOS 160nm/110 90nm 65nm MEMS (epi-poli thickness/aspect ratio) 22um/AR 1:25 25um/AR1:30 40um/AR1:35 POWER& DISCRETE -0.4 m -trench gate -thin wafer -laser annealing -thick metal m -ultra-thin wafer -very deep trench -multi-epi -silicon carbide (SiC) -0.2 m -TSV -Gallium Nitride (GaN)

8 Manufacturing France (Crolles, Rousset, Tours) Italy (Agrate, Catania) Morocco Malta China (Shenzhen, Longgang) Malaysia Philippines 12 Manufacturing Sites: 6 Front-end Sites ~130,000 8 equivalent wafers per week >100,000 m 2 cleanroom area worldwide 6 Back-end Sites ~50 Million parts per day Singapore Access this map:

9 Wafer Size and Technology Divergence Moore s law drives relentless CMOS miniaturization and increasing wafer size More than Moore gives extended life to existing fabs Moore s Law 2018(?) 450mm 14nm,10, 7,5nm. 9 - Innovative technologies - Depreciated assets - Established know how -Add selected tools -Capability -Capacity 1980 s <150mm 10µ to 1µ 1990 s 200mm 0.5µ to 90nm 2000 s 300mm 120nm to 10nm More than Moore Trailing edge 150/200 starting 300mm Presentation Title

10 Fab Evolution Towards Derivative Technologies Use existing tool set Many process steps remain essentially similar 10 Modify/upgrade existing tool set Example: Convert an existing wet bench to a new chemistry mix Re-use tools from other ST sites Example: decomissioned front side PVD tools no longer state of the art re-used as backside metalisation Purchase second hand tools Lowest cost option, ST led this trend starting in the 90s with 200mm and 300mm during last few years. Purchase new tools New capabilities for derivative technology where existing tools don t exist Adapt/optimise fab layout and facilities Presentation Title

11 C O S T Used Equipment Sources 11 Source Refurbished 'As New' OEM supplied Turn Key solution Refurbisher/Broker As is Where is Broker with 3rd party Upgrade/modify/install As is Where is Install by Fab engineers Challenges Not low cost Lead times Equipment 'too good' Availability of donor tool Cost close to OEM? Donor tool quality can refurbisher source parts? Internal skill set Tool compatibility Number of failed parts unknown R I S K Presentation Title

12 How To Select Equipment Sources There is no one fits all approach Example 1. DuV scanner for ST Rousset 200mm 90nm fab 12 Parameters to consider Low availability of good donor tools Fab does not have skill set to install/start up/qualify Very high quality requirement Automotive microcontrollers with envm Logical solution - OEM or experienced Turn Key provider Example 2. Oxide measurement tool for ST Catania Smart Power fab Parameters to consider High cost sensitivity for project Installed base exists with skills set internally Higher risk acceptable because tool is adding to multiple tool existing capacity Logical solution As is where is broker tool Presentation Title

13 How To Select Equipment Sources Fab expansions and fab conversions When considering larger projects involving multiple tools. A more global approach is needed. Speed - Industry product cycles are short, windows of opportunity dissapear Quality - Weakest link effect one tool failing can impact whole project Cost - Trailing edge technologies have lower margins/ strong competitors 13 Future for these larger projects is with service providers who can: Have access to high quality donor tools of multiple types Provide turn key solutions including refurbishment, upgrades, installation, qualification Lead times to deliver achieve wafer starts. Must be shorter. Project management from concept to production Options to cover warranty support parts and people Access to software Transport and storage facilities CE marking Speed Quality Cost Presentation Title

14 Continued life for 200mm Fabs Summary Derivatives, Power products and MEMS good fit with lower cost 200mm fabs Mature fabs with efficient manufacturing, quality and cost control embedded in the DNA of highly trained workforce Collaboration with research institutes such as CEA LETI 14 Need supply of high quality/lower cost equipment to compliment installed base Configurations/options adapted to requirements Short delivery leadtimes Fast first time right installations Parts and manpower support programs Key role for creative suppliers Adaption and evolution of tool supply programs OEMs Opportunities for second source suppliers to move beyond As is where is approach Presentation Title

15 Conclusions mm fabs still have a very long future! Trailing adge of Moores Law And derivatives, options, new ideas 300mm fabs will transition from leading edge to trailing edge Even 450mm will become trailing edge at some point! Additional equipment needed to complete tool sets Adding capability Adding capacity Second hand tools will continue to play major role Opportunties for OEMs to add new capabilities and value Opportunities for OEMs and second sources to provide high value, lower cost solutions Presentation Title

16

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

Company Presentation. October 2017

Company Presentation. October 2017 Company Presentation October 2017 A global semiconductor leader 2016 revenues of $6.97B Listed: NYSE, Euronext Paris and Borsa Italiana, Milan Who We are 2 Research & Development Main Sales & Marketing

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Company Presentation. January 2018

Company Presentation. January 2018 Company Presentation January 2018 A global semiconductor leader 2017 revenues of $8.35B with yearon-year growth of 19.7% Listed: NYSE, Euronext Paris and Borsa Italiana, Milan Who We Are 2 Research & Development

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

Company Presentation. October 2018

Company Presentation. October 2018 Company Presentation October 2018 A global semiconductor leader 2017 revenues of $8.35B with yearon-year growth of 19.7% Listed: NYSE, Euronext Paris and Borsa Italiana, Milan Who We Are 2 Research & Development

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Company Presentation. July 2018

Company Presentation. July 2018 Company Presentation July 2018 A global semiconductor leader 2017 revenues of $8.35B with yearon-year growth of 19.7% Listed: NYSE, Euronext Paris and Borsa Italiana, Milan Who We Are 2 Research & Development

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

La ricerca e sviluppo in STMicroelectronics

La ricerca e sviluppo in STMicroelectronics La ricerca e sviluppo in STMicroelectronics Who we are 2 A global semiconductor leader The largest European semiconductor company 2013 revenues of $8.08B Approx. 45,000 employees worldwide Approx. 9,000

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

Elettronica e Controllo degli Attuatori SMA

Elettronica e Controllo degli Attuatori SMA Elettronica e Controllo degli Attuatori SMA Adriano Basile STMicroelectronics, System LAB Content 2 STMicroelectronics: Who we are Shape Memory Alloy Brief Mechanical Considerations SMA Driving Topology

More information

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany MEMS Sensors: From Automotive to CE Applications MicroNanoTec Forum Innovations for Industry 2010 April 19 th Hannover, Germany Oliver Schatz, CTO 1 Engineering April 2010 GmbH 2009. All rights reserved,

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

One-Stop-Shop for. Research Fab Microelectronics Germany

One-Stop-Shop for. Research Fab Microelectronics Germany Fraunhofer Group for Microelectronics One-Stop-Shop for Technologies and Systems Research Fab Microelectronics Germany The entire added-value chain for microelectronics and nanoelectronics from a single

More information

Automotive and Discrete Group (ADG)

Automotive and Discrete Group (ADG) Automotive and Discrete Group (ADG) Marco Monti Executive Vice President General Manager, Automotive and Discrete Group Automotive & Discrete Group in FY 16 2 Group Revenues by Core Application Assisted

More information

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS The entire added-value chain for microelectronics and nanoelectronics from a single provider The Research Fab Microelectronics

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

Stress Lavoro-Correlato

Stress Lavoro-Correlato Stress Lavoro-Correlato 23 Gennaio 2018 Ugo Cardamone (EHS Director)/Daria Doria (RLS) Our Vision 4 ST stands for Everywhere microelectronics make a positive contribution to people s lives, ST is there

More information

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling imec 2009 1 The Role of European Research Institutes in the 450mm Wafer Transition Process IMEC nanoelectronics platform A Collaborative approach towards 450mm R&D IMEC March 2009 Outline Introduction

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Power Semiconductors technologies trends for E-Mobility

Power Semiconductors technologies trends for E-Mobility 1 Power Semiconductors technologies trends for E-Mobility Gianni Vitale Power Conversion & Drives Section Manager System Lab, STMicroelectronics NESEM 2013, Toulouse All trademarks and logos are property

More information

Optimizing Automatic Parametric Test (APT) in Mixed Signal / Mems foundry

Optimizing Automatic Parametric Test (APT) in Mixed Signal / Mems foundry Optimizing Automatic Parametric Test (APT) in Mixed Signal / Mems foundry Authors: Steffen Richter, Group Mgr PCM-Member Technical Staff, Xfab Silicon Foundries Alex Pronin, Ph.D, Lead Applications Engineer,

More information

Sensors and actuators at NXP: bringing more than Moore to CMOS

Sensors and actuators at NXP: bringing more than Moore to CMOS Sensors and actuators at NXP: bringing more than Moore to CMOS Joost van Beek Senior Principal Scientist Corporate R&D, NXP Semiconductors Presented at the International Symposium on Advanced Hybrid Nano

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

Developing Smart Miniaturized Solutions for Industry

Developing Smart Miniaturized Solutions for Industry Developing Smart Miniaturized Solutions for Industry Micro nanotechnologies & Embedded Software Competitive Cluster - Grenoble / France www.minalogic.com Born in Grenoble 1 st french scanner (1972) Airbag

More information

ITRS Update (and the European situation) Mart Graef Delft University of Technology

ITRS Update (and the European situation) Mart Graef Delft University of Technology ITRS Update (and the European situation) Mart Graef Delft University of Technology Overview Roadmapping: Moore s Law & More than Moore Europe and the Roadmap Beyond CMOS: Nano-Tec Infrastructures: ENI2

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Ministry of Industry and Information Technology National Development and Reform Commission Ministry of Finance

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

CMP for Advanced Packaging

CMP for Advanced Packaging CMP for Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 9, 2016 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit Master Outline title style

More information

Dassault Systèmes in High-Tech

Dassault Systèmes in High-Tech Dassault Systèmes in High-Tech London September 3 rd, 2014 Olivier RIBET Vice-President, High Tech Industry 1 High-Tech: Driver of Innovation across Industries Connect Product, Nature & Life is the challenge

More information

Silicon Carbide power devices: Status, challenges and future opportunities

Silicon Carbide power devices: Status, challenges and future opportunities Silicon Carbide power devices: Status, challenges and future opportunities S. Reggiani, E. Gnani, A. Gnudi, G. Baccarani ARCES MODELING AND SIMULATION GROUP IUNET DAY September 21, 2017 Advanced Research

More information

Creating the world technology leader in surface solutions under one roof

Creating the world technology leader in surface solutions under one roof Creating the world technology leader in surface solutions under one roof We are the world technology leader in the growing surface solutions market. Combining the complementary strengths of Oerlikon Balzers

More information

Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market

Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market Dr Julien Arcamone MEMS Business development Manager, CEA-LETI julien.arcamone@cea.fr MEMS

More information

Status and Perspectives of the European Semiconductor Industry. Andreas Wild

Status and Perspectives of the European Semiconductor Industry. Andreas Wild Status and Perspectives of the European Semiconductor Industry Andreas Wild Content 1. 2011 for the European Semiconductors Industry 2. Public-Private Partnership 3. Key Enabling Technologies: Pilot Lines

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978) IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 www.icknowledge.com Ph: (978) 352 7610, Fx: (978) 352 3870 Linx Consulting, PO Box 384, Mendon, MA 01756 0384 www.linxconsulting.com Ph: (617) 273 8837

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Tailor-made R&D Services: Our Areas of Application

Tailor-made R&D Services: Our Areas of Application One-Stop-Shop for Technologies and Systems Tailor-made R&D Services: Our Areas of Application Digital Industry: More than Developing Products The traditional industry sector is undergoing a rapid transition

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

The 3D silicon leader. March 2012

The 3D silicon leader. March 2012 The 3D silicon leader March 2012 IPDiA overview Company located in Caen, Normandy, France Dedicated to manufacturing of integrated passive devices Employing 100 people and operating own wafer fab Strong

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies

Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies Presented by PSMA Packaging Committee Brian Narveson and Ernie Parker, Co-Chairmen Technology Report Commissioned

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

MCO Applications. 24th January 2011, Washington DC. JSTC 24 January

MCO Applications. 24th January 2011, Washington DC. JSTC 24 January MCO Applications 24th January 2011, Washington DC JSTC 24 January 2011 1 Semiconductor as enabling industry Semiconductors are everywhere and can be found as advanced solutions in (examples): PC Power

More information

Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development

Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development Guiding Ideas, Basic Principles and Development Goals: 1. Guiding ideas: Implement plans and policies

More information

BCD Technology. Sense & Power and Automotive Technology R&D. January 2017

BCD Technology. Sense & Power and Automotive Technology R&D. January 2017 BCD Technology Sense & Power and Automotive Technology R&D January 2017 Content 2 BCD in ST Technology platform details Content 3 BCD in ST Technology platform details What is BCD? 4 A concept invented

More information

Advanced CMOS Technology Scaling Can Space Parts Catch Up?

Advanced CMOS Technology Scaling Can Space Parts Catch Up? Advanced CMOS Technology Scaling Can Space Parts Catch Up? Dr. Douglas Sheldon 2013. All rights reserved. Space parts ~0.01% of Total IC Market Semiconductor manufacturers 62% of TSMC s wafer revenue from

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

More Imaging Luc De Mey - CEO - CMOSIS SA

More Imaging Luc De Mey - CEO - CMOSIS SA More Imaging Luc De Mey - CEO - CMOSIS SA Annual Review / June 28, 2011 More Imaging CMOSIS: Vision & Mission CMOSIS s Business Concept On-Going R&D: More Imaging CMOSIS s Vision Image capture is a key

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

DSP Valley Designing Smart Products

DSP Valley Designing Smart Products DSP Valley Designing Smart Products Engineering Mobility Days Coimbra 21-5-2014 Slide 1 Outline 1. DSP Valley? 2. Jobopportunities within the network General information Jobs and company profiles 3. Application

More information

300mm BEOL manufacturing capabili=es in Russia. November 2017 CNE PROPRIETARY

300mm BEOL manufacturing capabili=es in Russia. November 2017 CNE PROPRIETARY 300mm BEOL manufacturing capabili=es in Russia November 2017 About CNE CNE is a pure-play 300mm BEOL Foundry with state of the art equipment, advanced process technologies and capabiliees Crocus Nano Electronics

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Keith Best Biography Over the last 27 years, Keith Best has held a variety of semiconductor processing

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS

A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS JED Hurwitz CTO & VP Engineering, Gigle Semiconductor 14 May 2008 CONTENTS OF TALK Who am I Aspects of a successful

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director

IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director IFX Day 2011 Campeon Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and

More information

Image sensor combining the best of different worlds

Image sensor combining the best of different worlds Image sensors and vision systems Image sensor combining the best of different worlds First multispectral time-delay-and-integration (TDI) image sensor based on CCD-in-CMOS technology. Introduction Jonathan

More information

Title: Expand with ROHM ROHM CO., LTD.

Title: Expand with ROHM ROHM CO., LTD. Title: Expand with ROHM ROHM CO., LTD. c 2009 ROHM Co., Ltd. All Rights Reserved Sales by Product Category (Consolidated) 500 (Billions of Yen) 400 300 Passive Components Displays 3,600 9% 10% 4,093 8%

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE

CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE Cree, the silicon carbide expert, is leading the power semiconductor revolution. Cree, an innovator of semiconductors for

More information

«Silicon ecosystems in Europe: the key to competitiveness»"

«Silicon ecosystems in Europe: the key to competitiveness» EMLC 2010 January, 18th 2010 «Silicon ecosystems in Europe: the key to competitiveness»" Agenda Gérard MATHERON SITELESC Chairman, STMicroelectronics Crolles Dir. 1 2 Agenda Electronics leverage competitiveness

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

N e w s R e l e a s e

N e w s R e l e a s e N e w s R e l e a s e Infineon Austria achieves double-digit growth and takes the vanguard of Industry 4.0 in Austria year-end statement for fiscal year 2014 New Management Board team since April 2014

More information

Market Forecasts for Silicon Carbide & Gallium Nitride Power Semiconductors. Richard Eden Senior Analyst IMS Research (an IHS company)

Market Forecasts for Silicon Carbide & Gallium Nitride Power Semiconductors. Richard Eden Senior Analyst IMS Research (an IHS company) Market Forecasts for Silicon Carbide & Gallium Nitride Power Semiconductors Richard Eden Senior Analyst IMS Research (an IHS company) SiC & GaN Power Semiconductors In 2022, the global power semiconductor

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

IQE Plc. H Results, September Drew Nelson, CEO Phil Rasmussen, CFO. Enabling Advanced Technologies

IQE Plc. H Results, September Drew Nelson, CEO Phil Rasmussen, CFO. Enabling Advanced Technologies IQE Plc H1 2017 Results, September 2017 Drew Nelson, CEO Phil Rasmussen, CFO Safe harbour statement No accountant, lawyer or broker has reviewed this presentation or commented on its merits. No representation

More information

A 100V, 3 Phase Gate Driver with integrated digital PWM Generation and Current Sampling

A 100V, 3 Phase Gate Driver with integrated digital PWM Generation and Current Sampling A 100V, 3 Phase Gate Driver with integrated digital PWM Generation and Current Sampling Daryl Prince, Hong Xiao Agile Systems Inc. 575 Kumpf Drive, Waterloo ON Canada N2V 1K3 e-mail: DPrince@agile-systems.com

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Power FINFET, a Novel Superjunction Power MOSFET

Power FINFET, a Novel Superjunction Power MOSFET Power FINFET, a Novel Superjunction Power MOSFET Wai Tung Ng Smart Power Integration & Semiconductor Devices Research Group Department of Electrical and Computer Engineering Toronto, Ontario Canada, M5S

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Heterogeneous Technology Alliance. SOI MEMS Platform

Heterogeneous Technology Alliance. SOI MEMS Platform Heterogeneous Technology Alliance SOI MEMS Platform Added value of HTA SOI MEMS Platform to customers 23-Aug-11 Page 1 Attractive offering of HTA SOI MEMS Platform One-stop shop 1 Very extensive R&D resources,

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007 EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007 The FP7 ICT Theme Components & Systems Dr Erastos Filos European Commission Information Society and Media Directorate-General EF_Comp+Syst_FP7ICT_25Sep07-1

More information

Embedded Sensors. We can offer you complete solutions for intelligent integrated sensor systems.

Embedded Sensors. We can offer you complete solutions for intelligent integrated sensor systems. FRAUNHOFER-Institute For integrated Circuits IIS INTEGRATED CIRCUITS AND SYSTEMS ICS FROM AN IDEA TO A FINISHED PRODUCT WE ARE: CUSTOMER- ORIENTED PROFESSIONAL TIME-TO-MARKET- FOCUSED NETWORKED WE OFFER:

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information