Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology

Size: px
Start display at page:

Download "Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology"

Transcription

1 Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology Master thesis performed in Electronic Devices Author: Golnaz Ebrahimi Mehr Report number: LiTH-ISY-EX--13/4657--SE Linköping, April 2013

2

3 Design of a ROM-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology Master thesis Performed in Electronic Devices at Linköping Institute of Technology by Golnaz Ebrahimi Mehr... LiTH-ISY-EX--13/4657--SE Supervisor: Dr. Behzad Mesgarzadeh Examiner: Professor Atila Alvandpour Linköping, April 2013

4

5 Presentation Date 16 April 2013 Publishing Date (Electronic version) Department and Division Department of Electrical Engineering Electronic Devices 29 April 2013 Language English Other (specify below) 65 Number of Pages Type of Publication Licentiate thesis Degree thesis Thesis C-level Thesis D-level Report Other (specify below) ISBN (Licentiate thesis) ISRN: LiTH-ISY-EX--13/4657--SE Title of series (Licentiate thesis) Series number/issn (Licentiate thesis) URL, Electronic Version Publication Title Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS technology. Author(s) Golnaz Ebrahimi Mehr Abstract A 4 bit, Rom-Less Direct Digital Frequency Synthesizer (DDFS) is designed in 65nm CMOS technology. Interleaving with Return-to-Zero (RTZ) technique is used to increase the output bandwidth and synthesized frequencies. The performance of the designed synthesizer is evaluated using Cadence Virtuoso design tool. With 3.2 GHz sampling frequency, the DDFS achieves the spurious-free dynamic range (SFDR) of 60 db to 58 db for synthesized frequencies between 200 MHz to 1.6 GHz. With 6.4 GHz sampling frequency, the synthesizer achieves the SFDR of 46 db to 40 db for synthesized frequencies between 400 MHz to 3.2 GHz. The power consumption is 80 mw for the designed mixed-signal blocks. Keywords Rom-Less DDFS, Current Steering Digital-to-Analog Converter, Interleaved DACs, Return-to-Zero, Sine weighted DAC

6

7 Abstract A 4 bit, Rom-Less Direct Digital Frequency Synthesizer (DDFS) is designed in 65nm CMOS technology. Interleaving with Return-to-Zero (RTZ) technique is used to increase the output bandwidth and synthesized frequencies. The performance of the designed synthesizer is evaluated using Cadence Virtuoso design tool. With 3.2 GHz sampling frequency, the DDFS achieves the spurious-free dynamic range (SFDR) of 60 db to 58 db for synthesized frequencies between 200 MHz to 1.6 GHz. With 6.4 GHz sampling frequency, the synthesizer achieves the SFDR of 46 db to 40 db for synthesized frequencies between 400 MHz to 3.2 GHz. The power consumption is 80 mw for the designed mixed-signal blocks. Key words: Rom-Less DDFS, Current Steering Digital-to-Analog Converter, Interleaved DACs, Return-to-Zero, Sine-weighted DAC

8 Acknowledgment I would like to express my deepest appreciation to all the people who have helped me during the conduction of this thesis work. I would like to thank my supervisor Dr. Behzad Mesgarzadeh for his valuable ideas, guidance and help during this project. Thank you so much for the experience. I also would like to express my gratitude to Associate Professor Dr. J Jacob Wikner, for all his insightful discussions and guidance which was given with the most passion and generosity in time and knowledge. I am also grateful for all the valuable help that I have received from Petter källström, Ph.D. student of Electronic System division, Ameya Bhide, Ph.D. student of Electronic Devices division, and Farrokh Ghani Zadegan, Ph.D. student of Embedded Systems Laboratory. I am also thankful from all other researchers who have helped me during this project. My special thanks to Amin Ojani, Ph.D. student of Electronic Devices division, for his discussions and technical help throughout this work. His enthusiasm and curiosity is admirable. A big thank you goes to my beloved family, my parents and my dear sister Golpooneh, for their unconditional love and support. I am also thankful to all my friends, who have enriched my life with love and joy. My acknowledgments to Linköping University, for providing all the resources that I needed to learn and grow. 2

9 Contents Abstract... 1 Acknowledgment... 2 Table of Figures... 5 Table of Tables... 7 Chapter1 Introduction Motivation Thesis Organization List of Acronyms Chapter 2 DDFS Principles and Architectures Conventional DDFS The Phase Accumulator The phase to amplitude converter The Digital to Analog Converter Anti-aliasing Filter ROM-Less Direct Digital Synthesizers Direct digital synthesizer using a sine weighted DAC Direct digital synthesizer using triangle to sine wave converter Chapter 3 Noise Analysis of DDFS output spectrum Spurious related to the phase truncation error Spurious related to the DAC s finite resolution Spurious related to the nonlinearities of the DAC Static performance Dynamic performance Output spectrum of the digital to analog converter The phase noise of the DDFS Chapter 4 DAC Interleaving DAC limitations for high frequency performance Different approaches for DACs interleaving Hold Interleaved DACs Data Interleaving DACs Data and Hold Interleaving DACs

10 4.3 The Interleaving and Return to Zero approach used in this project Chapter 5 Designed Direct digital frequency synthesizer System Overview High Level Simulation Results Transistor Level Simulation Results Future Work References Appendix A

11 Table of Figures Figure2-3 Pipelined Phase Accumulator [18] Figure 2-4 Logic to exploit quarter wave symmetry [1] Figure 2-5 N bit binary weighted current steering DAC Figure 2-6 N bit thermometer coded current steering DAC Figure 2-7 N bit segmented current steering DAC Figure 2-8 Frequency response of DDFS (a) Ideal anti-aliasing filter (b), Realistic anti-aliasing filter (c) [5] Figure 2-9 DDFS Block Diagram using sine weighted DAC [2] Figure 2-10 DDFS Block Diagram using triangle to sine wave converter [3] Figure 2-11 TSC schematic (a), TSC transfer function (b) [18] Figure3-1 DDFS Spur Sources [1] Figure 3-2 Transfer Characteristic of a DAC [20] Figure 3-3 Thermometer DAC with finite output current source impedance [7] Figure 3-4 DAC s full scale transition [20] Figure 3-5 The Current Cell of Current Steering DAC Figure 3-6 Image replicas and nonlinearities in a DAC [9] Figure 3-7. The ZOH and Sinc function of DAC Figure 4-1 Hold Interleaving DAC Figure4-2 Data Interleaved DAC Figure4-3 Data and Hold Interleaving [9] Figure4-4 Interleaved DAC block diagram [9] Figure4-5 Image replicas of the first DAC (a ), Image replicas of the second DAC (b) and Image replicas of the Interleaved DACs (c) [21] Figure 4-6 Return-to-Zero Effect[21] Figure 5-1The block diagram of the designed DDFS Figure 5-2 The Block Diagram of Flash ADC Figure 5-3 The comparator of ADC Figure 5-4 The block diagram of sine weighted DAC Figure 5-5 The Current Cell of Current Steering DAC Figure 5-6 The implemented System Figure 5-7 Return to Zero, using discharge transistors Figure 5-8 The generated triangle and sine waves with FCW= Figure5-9 The output spectrum of 1.75 GHz output frequency Figure5-10 The SFDR versus FCW Figure5-11 The sine wave generated with FCW=2, MHz and 3.2 GHz sampling frequency Figure 5-12 The sine wave generated with FCW=2, MHz and 6.4 GHz sampling frequency Figure 5-13 The output spectrum of DDFS MHz output frequency with 3.2 GHz sampling frequency Figure 5-14 The spectrum of MHz, with 6.4 GHz sampling frequency

12 Figure 5-15 The output spectrum of DDFS at Nyquist frequency (1.6 GHz) with 3.2 GHz sampling frequency Figure 5-16 The Nyquist frequency output spectrum with 6.4 GHz sampling frequency

13 Table of Tables Table 5-1 The simulation results of this work Table 5-2 The simulation results of previous works Table 5-3 The measurement results of previous works

14 8

15 Chapter1 Introduction 1.1 Motivation A direct digital frequency synthesizer (DDFS) uses digital signal processing to generate frequency and phase tunable output signals. The generated output frequency is a division of the reference clock frequency. The division factor is set in a binary tuning word [5]. The DDFS has the advantages of fast frequency switching, fine frequency resolution, direct digital phase and frequency modulation in the digital domain and low phase noise. DDFS has a variety of applications from instrumentations and measurements to modern digital communication systems. For example, they can be utilized as a clock generator, which produces output frequencies with N the resolution of its phase accumulator. This characteristic is useful for the systems that need multiple clock frequencies with no integer relationship between them and they need to be changed rapidly and frequently [5]. In modern communication systems, DDFS seems to be an alternative to phase-locked loops (PLL). Fast switching speed is becoming more and more important in today s wireless communication systems, such as in spread spectrum communication systems. The limitation of the tuning speed of the PLL comes from the produced delay due to its internal feedback [1]. Aside from these advantages, DDFS is only capable of producing the exact integer division of the reference clock frequency when the FCW is 2 to the power of an integer. However, PLL has the ability to lock its output to the input phase of a reference clock. Moreover, PLL is capable of producing higher output frequencies. In order to take advantages of both PLL and DDFS, some applications use a hybrid frequency synthesizer, combining PLL and DDFS [5]. Moreover, conventional direct digital frequency synthesizers are considered power hungry systems due to the use of ROM look up table in their architecture [2]. Consequently, ROM-Less architectures has been introduced [2] [3]. The first approach in ROM-Less DDFS architecture was to use all thermometer sine-weighted DAC [4]. However, this approach needed a huge number of current cells. Therefore, to decrease the number of current cells segmentation algorithm for nonlinear DAC was proposed [12]. The segmentation of nonlinear DAC is more complicated than the linear ones and this architecture suffers from more complexity. The second approach in ROM- 9

16 Less DDFS design is to use the triangle to sine wave conversion. This method uses the parabolic approximation, and utilizes the exponential current-voltage relationship of the transistors to implement it electronically. This method shows a moderate precision in triangle to sine wave conversion [3]. In the DDFS design, the most important performance parameters are sampling rate, power consumption and spectral purity. However, a new figure of merit was introduced in [2] to also take in to account the amplitude resolution information. In order to achieve high sampling rates and high synthesized frequencies, the direct digital synthesizers are mostly designed in indium phosphide (InP) HBT, silicon germanium (SiGe) HBT and SiGe BiCMOS technology [3]. The effort in this project was to design a DDFS with multi-ghz sampling rate in 65nm CMOS technology, with high spectral purity. Interleaving with return to zero (RTZ) technique has been used to achieve a high bandwidth. 1.2 Thesis Organization The organization of this thesis is as follow. In chapter two, the principles of the DDFS will be discussed through explaining the conventional DDFS architecture and the functionality of each block. Moreover, ROM-less architectures including the ones using nonlinear DAC and triangle to sine wave converter will be introduced. In chapter three, the error sources of the DDFS including the phase truncation error, the phase to amplitude conversion error, the introduced errors due to the nonlinearities of the DAC and the DDFS phase noise will be discussed. Chapter four will cover the limitations of DAC for having a wide bandwidth. In this chapter DAC interleaving principle and its different approaches will also discussed. In chapter five, the designed architecture in this project will be discussed and it will be followed by high level and transistor level simulations. Moreover, the results of the previous works with different architectures of DDFS will also be presented. The Verilog-A codes used for high level blocks including the phase accumulator and complementor can be found in Appendix A. 10

17 1.3 List of Acronyms ADC BiCMOS CLK CMOS CORDIC db DAC DDFS DFF DNL FCW FSK GCD Analog-to-Digital Converter Bipolar complementary metal-oxide-semiconductor Clock Complementary metal-oxide-semiconductor Co-ordinate digital computer Decibel Digital-to-Analog Converter Direct Digital Frequency Synthesizer Delay-flip-flop Differential Nonlinearity Frequency Control Word Frequency-Shift Keying Greatest Common Divisor Third Order Distortion INL LSB LUT MSB MSK PAC Integral Nonlinearity Least Significant Bit Look-up table Most Significant Bit Minimum-Shift Keying Phase to Amplitude Converter 11

18 PLL ROM RTZ SNR SFDR TSC ZOH Phase Locked Loops Read-only memory Return-to-Zero Signal to Noise Ratio Spurious Free Dynamic Range Triangle to Sine Converter Zero Order Hold 12

19 Chapter 2 DDFS Principles and Architectures As it was stated earlier, Direct Digital Frequency Synthesizer, DDFS, uses digital signal processing to generate frequency and phase tunable output signals. In order to change the frequency of the output signal, frequency control word (FCW) or the frequency of the reference clock can be changed. In this chapter the DDFS principles are described through explaining conventional DDFS architecture. Also, the most common DDFS architectures will be presented. 2.1 Conventional DDFS The block diagram of a conventional DDFS is shown in figure 2-1. The DDFS consists of a phase accumulator, a phase to sinusoid amplitude converter (PAC) and a digital to analog converter (DAC) followed by a filter. The phase accumulator consists of a counter and a register. The register restores the frequency control word (FCW), which is the jump size of the counter. With each clock cycle, the over flow of the counter is added to the FCW. The result of this counting is the production of the phase information of the sine wave. The output of the phase accumulator will be fed to PAC, which converts the phase information of the sine wave to amplitude. The discrete-time, discrete-amplitude information of the sine will be converted to analog by passing through a DAC. The final block of the system is an ant-aliasing filter. The functionality of each block is described in more details in the following sections. FCW Phase Accumulator Phase to Amplitude Converter Digital to Analog Converter Filter CLK Figure 2-1 The Block Diagram of conventional DDS. 13

20 2.1.1 The Phase Accumulator The phase accumulator is basically a counter which has the responsibility of generating the phase information of the sine wave. In order to understand how the frequency is synthesized using a phase accumulator, consider the phase wheel in figure 2-2. M = Jump Size N Number of points: Figure 2-2 Digital phase wheel [5]. 14

21 Each point on the phase wheel is correspondent to an equivalent phase of the sine wave. A complete rotation of the phase wheel with constant speed will generate one complete period of a sine wave. In every clock cycle, the over flow of the counter is added to the FCW which is stored in the phase accumulator register. Consequently, FCW determines how fast the counter travels around the phase wheel. As a result of a higher jump size, the counter completes one rotation around the phase wheel faster, and consequently a higher output frequency will be synthesized. The resolution of the phase accumulator (N) determines how many phase points the phase wheel contains, and consequently it determines the resolution of the synthesized output frequency. For example, if N is taken to be 32, then the FCW of will result the counter to overflow after reference clock cycles (a complete rotation) and gives the lowest possible output frequency. The FCW of will result the counter to overflow after only two reference clock cycles (a complete rotation). The relation between the reference clock frequency, output frequency the FCW and resolution of the phase accumulator is given in equation 2-1. Equation 2-1 = According to Nyquist theorem, we need at least two samples per cycle in order to reconstruct the sine wave; consequently, the highest output frequency that we can achieve is equal to. The frequency resolution of the synthesizer ( is found when the FCW is set to one: Equation2-2 As the phase accumulator is not able to complete multi bit addition in a short clock period, in order to run the DDFS in high speeds, pipelined phase accumulator is usually used. A pipelined phase accumulator is shown in the figure 2-3 [1]. 15

22 As it can be understood from the above, all the signal processing operations which are needed for synthesizing and tuning the output frequency of the DDFS, is done in digital domain. This is why the direct digital synthesizers are so attractive for digital modulation techniques, such as FSK and MSK. Adder clk DFF clk DFF clk DFF clk clk Adder clk DFF clk DFF DFF 1 s Com plime ntor clk Adder clk Adder clk Figure2-3 Pipelined Phase Accumulator [18]. 16

23 2.1.2 The phase to amplitude converter After the phase information is generated by the phase accumulator, it will be fed to the phase to amplitude converter, which is a ROM look up table in the conventional DDFS. The look up table contains the amplitude information correspondent with each of the phase points of the phase wheel. In order to avoid a very large look up table, it is common to use only a fraction of the most significant bits of the phase accumulator information In order to produce a sine wave. In this case we say that the DDFS is truncated from k bits to j bits, for example from 32 bits to 12 bits. The truncation results in spurs in the output spectrum of the DDFS, which will be discussed in the next chapter. However, 12 bits still results in a large look up table. A large look up table decreases the speed of the synthesizer and increase the power consumption and die area, moreover a high resolution DAC will be needed to design. Therefore, a tremendous work has been done to reduce the size of the look up table. A very basic one is to use the quarter wave symmetry of the sine wave. The block diagram of this method is shown in the figure 2-4 [1]. In this case only the amplitude information of the 0 to π/2 of the sine wave is stored in the ROM, and the two most significant bits of the phase accumulator output are used to distinguish the quarter of the sine wave. The most significant bit illustrates the sign of the sine wave amplitude and the second most significant bit is used to determine weather the amplitude is increasing or decreasing. Other ROM compression techniques include the Sunderland architecture, Nicholas architecture, polynomial approximation and CORDIC algorithm. In the Sunderland architecture the large look up table is divided in to two smaller memories. The Nicholas architecture has improved the Sunderland architecture and hence has achieved a higher ROM compression. In the Polynomial approximations, the coefficient of the polynomial is stored in the ROM. In this method the interval of [0, is divided in smaller divisions and the sine/cosine is produced in for each of them. The CORDIC algorithm has its advantage over ROM when the needed accuracy is more than 9 bits. Using this algorithm the needed hardware is not growing exponentially when the output word size is increasing. For more information about this methods please refer to [1]. 17

24 MSB 2 nd MSB Phase Accumulator Complementor π/2 sine look up table Complementor 2π π/2 0 0 Figure 2-4 Logic to exploit quarter wave symmetry [1] The Digital to Analog Converter As it is shown in the figure 2-1, the discrete-time, discrete-amplitude information of the sine wave is fed to a digital to analog converter to be converted to a continuous-amplitude, continuous-time sine- wave. The current steering DACs are the best choice for high speed applications because of their fast switching speed. They can be implemented in binary weighted, thermometer coded and segmented architectures. The segmented architecture combines the binary weighted and thermometer coded architectures to take advantage of the benefits of both architectures. It uses thermometer coded for its most significant bits (MSB) and binary weighted for its least significant (LSB) bits. The binary weighted, thermometer coded and segmented architectures are shown in the figures 2-5, 2-6 and 2-7 respectively. As it can be seen in the figure 2-5, in the binary weighted architecture, each current source is as twice as much of the previous one. According to the digital input code a combination of the current sources will be switched to the output. This architecture has the advantage of small area and low power consumption. However, it suffers from differential nonlinearity (DNL) and the presence of 18

25 glitches, degrades its dynamic performance. On the other hand, thermometer coded architecture has more complexity and higher power consumption, but it has improved DNL, low glitches and small switching errors. In this architecture, all the current sources are equal. The digital input code is first fed to a thermometer decoder, and the thermometer code turns on the switches accordingly. Definitions and sources of the DAC nonlinearities will be presented in the next chapter. The segmented architecture uses the thermometer coded for its most significant bits, which are more responsible for the dynamic performance, and binary weighted for its least significant bits. A dummy decoder should be used for the binary weighted part to compensate for the delay of the thermometer decoder of the thermometer decoded part [9]. The considerations on how the resolution of each of architectures should be chosen in the segmented current steering DACs can be found in [19]. It has to be noted that in the DDFS the dynamic performance of the DAC plays a significant role in the spectral purity of the output spectrum, which will be discussed in more details in the next chapter. I 4I 2I I Figure 2-5 N bit binary weighted current steering DAC. 19

26 I I I Figure 2-6 N bit thermometer coded current steering DAC. Thermometer Decoder Dummy Decoder Switch Driver Switch Driver Unary Switches Binary Switches Unary Current Sources Binary Current Sources Figure 2-7 N bit segmented current steering DAC 20

27 2.1.4 Anti-aliasing Filter As it will be discussed in more details in the next chapter, the DDFS is a sampling system. Therefore, there will be images at the frequencies of of the output spectrum, with the output frequency and the sampling clock. As the result of the zero order hold functionality of the DAC, the amplitude of the images are weighted by the function. For most applications, these images are undesirable. In order to remove these images, a filter by an inverse function called anti-aliasing filter is used at the end of the system. Ideally, this filter should have unity response over the Nyquist bandwidth and zero beyond that. However, designing such a filter is not practical; consequently, some percentage of available bandwidth will be unusable. Therefore, the synthesized output frequency of DDFS is usually limited to less than 3/8 of sampling frequency [2]. Figure 2-8(a) shows the spectrum of the DDFS, taking in to account only the image replicas. Figure 2-8 (b) and (c) show the effect of the ideal and non ideal filter on the output spectrum of the synthesizer. The design of this filter is beyond the scope of this thesis. 21

28 Amplitude Amplitude Amplitude Frequency (a) Amplitude Ideal filter response No aliases Frequency (b) Amplitude Realistic filter response Supressed aliases Frequency (c) Figure 2-8 Frequency response of DDFS (a) Ideal anti-aliasing filter (b), Realistic anti-aliasing filter (c) [5]. 22

29 2.2 ROM-Less Direct Digital Synthesizers As it was stated earlier, the ROM look up table is the speed, power and area bottleneck of direct digital synthesizers. Although a tremendous work has been done to compress the ROM look up table, direct digital synthesizers using this method still have high power consumption and limitations in higher frequency operations [3]. Consequently, ROM-Less architectures has been introduced. The two most common ones are described briefly in the following section Direct digital synthesizer using a sine weighted DAC In order to reduce the power consumption of direct digital synthesizers, ROM-Less architectures based on sine weighted DACs has been proposed [4]. The block diagram of DDFS using a sine weighted DAC is shown in the figure 2-9. In this architecture the sine/cosine mapping and the digital to analog conversion are performed in a same block, called sine weighted DAC. The design challenges of the sine weighted DAC is mostly the same with the linear DAC. The main difference between the sine weighted DAC and linear DAC is that in the linear DAC the current sources are identical with each other or they are a power of two weighted. However, in the sine weighted DAC the current sources are weighted according the amplitude of the sine wave [2]. In this architecture, for each phase of the sine wave the sine weighted DAC switches the corresponding amount of current to the output. The most two significant bits are used to exploit the quarter wave symmetry of the sine wave. Initially, these architectures used all thermometer sine weighted DACs. In order to reduce the number of DAC cells, segmentation techniques were proposed [12]. Segmentation techniques for nonlinear DACs are more complicated than for linear ones, and these architectures suffer from complexity when the resolution is high [3]. 23

30 MSB 2 nd MSB FCW Phase Accumulator Complementor Nonlinear DAC CLK 2 0 Figure 2-9 DDFS Block Diagram using sine weighted DAC [2] Direct digital synthesizer using triangle to sine wave converter The block diagram of a DDFS using triangle to sine wave converter is shown in the figure This architecture uses the most significant bit to exploit the half wave symmetry of the sine wave; consequently, it decreases the truncation error. The output of the complementor will then fed to a linear DAC. The linear DAC produces a triangle wave which contains the analog phase information of the sine wave. The triangle wave is then converted to a sine wave using an analog sine-mapping methodology. This methodology uses the parabolic approximation, which is implemented electronically by using the exponential current-voltage relationship of the transistors [3] [18]. Figure 2-11 shows the schematic and transfer function of the triangle to sine wave converter [18]. This architecture has a simple and low power structure and shows a moderate precision in triangle to sine wave conversion [3]. 24

31 MSB FCW Phase Accumulator Complementor Linear DAC Triangle to Sine Converter CLK Figure 2-10 DDFS Block Diagram using triangle to sine wave converter [3]. Output signal Transfer function Analog triangle from DAC (a) (b) Equation2-3:. Equation2-4: Equation2-5: -1 Figure 2-11 TSC schematic (a), TSC transfer function (b) [18]. 25

32 Chapter 3 Noise Analysis of DDFS output spectrum The direct digital frequency synthesizer has four sources of spurs, which is shown in the figure 3-1. These error sources include the truncation error of the phase accumulator, the phase to amplitude conversion error, the errors due to the nonlinearity of the DAC and also the phase noise. In this chapter these error sources and their effect on the output spectrum of the DDFS are discussed. FCW Phase Accumulator Phase to Amplitude Converter Digital to Analog Converter Reference Clock Reference Clock Spurs Noise Phase Truncation Spurs Angle to Amplitude Error Spurs Nonlinearity of the DAC s Spurs Figure3-1 DDFS Spur Sources [1]. 3.1 Spurious related to the phase truncation error As it was stated earlier, in order to have fine frequency resolution we would like to increase the resolution of the phase accumulator. However, this would result in large circuits that are needed to convert the phase data to amplitude data. Therefore, the output of the phase accumulator is usually truncated from N bits in to P bits. This truncation will result in a phase error between the generated phase by the accumulator, and the phase that is used by the PAC for amplitude generation; consequently, there will be an error in the generated amplitude. This error is periodic 26

33 in the time domain and hence shows itself as spurs in the frequency domain [5]. The periodic nature of the error is due to the fact that after sufficient rotation of the phase wheel the accumulator phase and the truncated phase will coincide and there will be no phase error. The pattern will continue as the phase accumulator continues to count. However, certain frequency control words result in the maximum level of the phase truncation spurs while some result in no error. The control words that yield the maximum spurs level should satisfy the following equation [5]: Equation3-1 (FCW, ) = Where, GCD denotes the greatest common divisor between the two variables in the parentheses. Hence, any control word with 1 in the bit position of and 0 in all other least significant bit positions will result in the maximum truncation spurs level. Moreover, the control word that yield to no truncation error should satisfy the following equation [5]: Equation3-2 (FCW, ) = Hence, any control word with 1 in the bit position of and 0 in all other least significant bit positions will result in no phase truncation spurs. The generated spurs due to the phase truncation are the most significant spurs, if we consider the DAC ideal. They will be mixed by the DDFS output frequency, and will generate spurs at multiples of the output frequency, which is calculated by the following equation [1] [2]: Equation3-3 27

34 3.2 Spurious related to the DAC s finite resolution The finite resolution of the DAC and consequently the finite number of quantization levels of the DAC will result in an error, called the quantization error. The quantization error is basically the difference between the amplitude of the reconstructed sine wave and the ideal sine wave, which is due to the limited resolution of the. This error will show itself as spurs in the output spectrum of DDFS. The quantization error can be decreased by increasing the resolution of the DAC. The relationship between the resolution of the DAC and the amount of quantization distortion can be quantified with the following equation [5]: Equation P Where, P is the number of bits of the DAC and SQR is the ratio of the signal power to quantization noise power. It should be noted that this equation does not provide any information about the total SFDR of the system, and only considers the spurs due to the quantization error. 3.3 Spurious related to the nonlinearities of the DAC The most dominant spurs in the output spectrum of the DDFS is the spurs related to the nonlinearities of the DAC. Both static and dynamic nonlinearities will be discussed in the following section; however, in high sampling rates circuits the dynamic nonlinearities play the significant role and being statically linear is the prerequisite for the DAC to have a good dynamic linearity [6] Static performance The static specifications of a digital to analog converter include offset error, gain error, integral nonlinearity (INL) and differential nonlinearity (DNL). These errors will result a nonlinear 28

35 relation between the actual output level produced by the DAC and the ideal output level that the designer expects; consequently, there will be harmonic distortions at the output spectrum of the digital to analog converter. Figure 3-2 shows the ideal and actual transfer functions of a three bit DAC, together with the correspondent static nonlinearities, which is briefly discussed in the following section. Analog Output Ideal Straight Line Actual transfer function 1 LSB DNL INL Ideal transfer characteristic Offset Digital Input Figure 3-2 Transfer Characteristic of a DAC [20]. Offset error: offset error is the shift in the transfer function of the DAC on the vertical axis, and it shows that for an input value of zero, the DAC will output an analog value, not equal to zero. Gain error: In the transfer function of the DAC, the difference between the actual slope and the ideal slop is defined as the gain error. The gain error is not of a big concern when a single converter is being used, because rather than the absolute accuracy, the relative accuracy is of concern [6]. 29

36 Monotonicity: The monotonicity of a digital to analog converter is its ability to decrease or increase in the same direction of its input signal. Integral nonlinearity (INL) and differential nonlinearity (DNL): If we consider a line that passes through the end points of the transfer function of the DAC, the integral nonlinearity (INL) would be the maximum deviation between that line and the actual analog output of the DAC. The differential nonlinearity (DNL) is the difference between the actual step size and the ideal one least significant bit step size in the transfer function of the DAC. These errors are shown in the figure 3-2. The differential nonlinearity can be given in terms of least significant bit step sizes with the normalized form according to the following equation [20]: Equation3-5 In the above formula, and are the analog outputs corresponding to two successive codes of the converter. Moreover, the integral nonlinearity can be given as the accumulation of previous differential nonlinearity errors according to the following equation [20]: Equation3-6 = In the above formula, and are the actual and ideal analog outputs of the converter. Mostly, transistor mismatch in the current source of the DAC cells and the finite output impedance of the current sources are responsible for INL and DNL [6]. Care has to be taken when designing the current cells to have as much matching as possible, to meet the static specifications. This can be done by using sufficient gate area for current source transistors, short distance between the transistors and equal environments by using dummy transistors [7]. Moreover, there have been introduced some techniques, such as dynamic element matching, calibration technique and trimming to overcome the matching problem of the DAC. However, as these techniques introduce more complicated circuits and consequently more parasitic capacitance, they do more harm than good in high frequencies [7]. The finite output impedance of the DAC current sources will also result in distortion in the output spectrum of the DAC. Figure 3-3 shows a thermometer coded DAC. The current sources are considered ideal with current value of and finite output impedance of. N corresponds to total number of current 30

37 sources and n is equal to the digital input code. With different input codes, different number of current sources will be connected in parallel with the output load and consequently, the total effective load impedance will be dependent on the input signal [7]; hence, the output voltage will be signal dependant which will produce distortion in the DAC s output spectrum. The produced output voltage correspondent to the digital input word (n) in the figure 3-3 is equal to [7]: Equation3-7 } For full swing condition (n=n) the expression for the third order distortion can be written as [7]: Equation3-8 As it can be seen from the above formula, for having a low third order distortion, a high output impedance from each current source is needed. In low frequencies this can be achieved by using cascade transistors; however, for high frequencies this is not a sufficient solution, as will be discussed in the next section. 31

38 Figure 3-3 Thermometer DAC with finite output current source impedance [7] Dynamic performance The dynamic errors of the digital to analog converter include glitches, settling time and feed through effects. These errors are shown in the figure 3-4. Dynamic errors have a significant impact on the performance of the DAC and they even become more critical for higher output frequencies and sampling rates. These errors are presented in the following section. Analog Output Glitch Clock Feedthrough Ideal Transition Settling Time Figure 3-4 DAC s full scale transition [20]. Time 32

39 Glitches: Glitches happen as a result of an unmatched switching time between different bits, which can be due to skew between bits in the digital part or the timing mismatch in the switches of the DAC. The result is a signal dependant error from the inputs to the output of the DAC during the code transitions. For example, consider the case that the input code is changing from 0111 to If the switching time of all the current cells do not be synchronized, it is possible that we get the analog converted of 111 for a very short period in the output; consequently, a glitch will be occurred in the output. Figure2-3 shows this situation. This phenomenon is much severe in high frequencies. Careful layout and using thermometer decoding can be used to degrade this effect. Settling time: is defined as the time which is needed for the analog output to settle between the accepted error band of its final value and is due to the parasitic capacitances of the circuit. The settling time should be kept as small as possible to have a low distortion on the analog output signal. Feed through effects: feed through effects have two sources in a DAC cells. The first one is the feed through of the digital signal through or of the switch transistors, which actually results in distortion in the Nyquist bandwidth of the output spectrum, since it s a code dependant error. This error can be minimized by a careful layout and switches sizing. The second one is the feed through of the clock to the analog output, which also can be reduced by minimizing the size of the switches and hence reducing the capacitive coupling of the switches to the output. All the dynamic nonlinearities associated with the switches can be addressed by using return to zero (RTZ) technique, which can be implemented both with analog or digital solutions. In analog return to zero technique the output of the current cells is forced to zero when the clock is low and their current is switched to the output only when the clock is high; consequently, the switching transients do not appear in the DAC s output. As it was stated earlier, finite output impedance of the DAC will also result in dynamic nonlinearities. A current cell of a current steering DAC is shown in Figure3-5. In the previous part it was assumed that the output impedance of the current sources are purely resistive. However, at higher frequencies this impedance is modeled as the resistor in parallel with the effective output capacitance [7]: 33

40 Equation3-9 ) Accordingly, the third order distortion can be calculated as [7]: Equation3-10 Where, N is the number of current sources. As it can be seen, higher frequencies will result in higher third order harmonics. Moreover, it can be seen in the above equation that the frequency of the DAC is limited due to the minimum achievable amount of. This is one of the limiting factors for designing high speed DACs, which will be discussed in more details in section the next chapter. As it can be understood from the above explanations, sizing the transistor s properly plays an important role in both static and dynamic performances of current steering DACs. For current source (M2) the high output impedance and matching is of concern. Therefore, large sized transistors together with cascade transistors (M1), with both M1 and M2 working in the saturation region, is the desirable choice. However, in order to reduce the parasitic capacitances at the sources of the switches, the cascade transistors (M1) should be just large enough to be able to support the current. For switches (M) we would like to have small on resistance and minimum feed through effect. As the switches work with high gate-source voltage, minimum sized transistors can be chosen for them [7]. 34

41 Digital Circuit s M M Digital Circuit s M1 Biasing Circuits M2 Figure 3-5 The Current Cell of Current Steering DAC Output spectrum of the digital to analog converter The output spectrum of a DAC is shown in the figure 3-6. As it can be seen, the output spectrum consists of harmonics and image replicas. Harmonics rise from the DAC s nonlinearities, as it was discussed previously. They will be mixed between the clock and the signal and will result in spurious components at the locations of, for integer values of m and n [9]. Image replicas rise from the sampling characteristics of the DAC. Taking in to account the Poisson summation, the frequency domain of an ideal sampled signal is written as: Equation3-11 ),with the the sampling frequency. Consequently, the output spectrum will be the summation of the fundamental signal and all the images locating at. It also should be noted that the amplitude of these images are decreasing in time. This is because of the zero order hold (ZOH) behavior of the DAC s response in the time domain. As the DAC samples the input in every clock cycle, the analog output will have a zero order hold function, with the Sinc function as its Fourier transform. The time domain ZOH function and frequency domain Sinc function is shown in the figure 3-7. The amplitude of the Sinc function decreases in time, which leads to the 35

42 decrease of the amplitude of the images. It should be noted that the harmonics do not follow the Sinc function and it is not possible to predict their magnitude [5]. According to the Nyquist theorem at least two samples is required per cycle, in order to reconstruct the desired output waveform. Signal Image Replica Nonlinearity Hold Distortion Figure 3-6 Image replicas and nonlinearities in a DAC [9] -2π/ 2π/ Time Domain Frequency Domain Figure 3-7. The ZOH and Sinc function of DAC 36

43 SFDR and SNR are the most common terminologies that are used to describe the performance of the output spectrum of the DAC. SFDR stands for spurious free dynamic range and is the ratio between the signal power and the strongest spurious component in the output spectrum. SNR stands for signal to noise ratio and is the ratio between the signal power and the total power of the summation of all spectral components, excluding the harmonics. The SNR of an N bit DAC is approximately given by [9]: Equation 3-12 o Where, PN is the power of the total noise produced by the noise of the circuit (including the thermal noise and flicker noise) and the quantization noise. the lower limit of the SNR is contributed by the quantization noise[20]. The SFDR is calculated as: Equation 3-13 SFDR= 10log (PS/PH) Where, PH is the power of the strongest distortion component. 3.4 The phase noise of the DDFS The dominant contributor to the DDFS phase noise is the phase noise of the reference clock. In fact, because DDFS is a divider of the sampling clock, the purity of its output spectrum is directly affected by the purity of its reference clock. However, DDFS has a great advantage over PLL regarding to its phase noise. This is because PLL multiplies the phase noise of the reference clock in its feedback loop, but DDFS is a feed forward system, which its output is a fractional division of the reference clock; consequently, the phase noise which presents in the output spectrum of DDFS decreases by 20 log (N), where N is the division ratio. Moreover, as DDFS is a sampling system and the time interval between the samples are important, and the jitter of the reference clock will have an important role on the output spectral purity. 37

44 Chapter 4 DAC Interleaving Interleaving has shown promising impacts on expanding the usable bandwidth of analog to digital converters. Consequently, interleaving the digital to analog converters also became an interesting approach for increasing their high sampling rate performance. In interleaved ADCs the same input signal is fed to all sub ADCs, which are sampled at multiple phases of the clock. However, because of the zero order hold response of digital to analog converters, this approach is not as straight forward as ADCs for them. DAC interleaving categorized in two groups, data interleaving and hold interleaving [9]. In this chapter, after discussing the performance limitations of the digital to analog converters for high sampling rates and wide bandwidth, different methods of interleaving DACs will be briefly described and this will be followed by discussing the interleaving and return to zero technique used in this project and its influence on the output bandwidth. 4.1 DAC limitations for high frequency performance High frequency performance of digital to analog converters is limited for the following three main reasons [9]: 1) As it was stated in the previous chapter, DAC has a zero order hold behavior in the time domain and consequently a Sinc frequency response with a null located at This will result in amplitude distortion for high frequency generated signals. 2) According to the Nyquist theorem the applicable bandwidth of the digital to analog converters is limited to /2. 3) As it was mentioned in the last chapter, the expression for the third order distortion is equal to: Equation4-1 38

45 With the output impedance equal to: Equation4-2 ) Consequently, it can be seen that increase of the frequency will result in degredation. In the other words, the requirement on the will give us a requirement on the and consequently on. When the frequency increases, the required value of will decrease and it will be harder to achieve [7]. 4.2 Different approaches for DACs interleaving Interleaving of digital to analog converters is categorized in two different groups of hold interleaving and data interleaving, this gives us different approaches for interleaving the DACs. In the following section, each approach is described briefly Hold Interleaved DACs The block diagram of hold interleaving DAC is shown in the figure 4-1. In the hold interleaved DACs, the same data is used for all sub DACs, each clocked at time shifted version of the sampling clock, meaning that each digital data is sampled by each phase of the sampling clock. It was shown in [13] that this technique will lead to cancelling and lowering the aliases of the DAC by choosing the phase shifts of the sampling clock for each DAC correctly. However, this approach does not give significant advantages for wide band applications. 39

46 1 DAC1 = a b c DAC2 DAC3 DAC4 + a b c d d Figure 4-1 Hold Interleaving DAC Data Interleaving DACs Data interleaving approach is shown in the figure 4-2. This approach was developed to suppress the Nyquist images so that the produced frequencies near Nyquist could be used without stringent requirements on the filter [14]. This suppression is done by having the Nyquist images with 180 phase shift, and yet the fundamentals with the same phase. However, this approach requires the sampling rate of each DAC to be N. 40

47 1 DAC1 = /4 2 3 a b DAC2 DAC c DAC4 d /4 Figure4-2 Data Interleaved DAC Data and Hold Interleaving DACs The block diagram of data and hold interleaving DACs are shown in the figure 4-3. In this approach each DAC is fed with the interleaved samples of the signal and each DAC samples at interleaved time instants. Consequently, the first N-1 image replicas and nonlinearity sours are cancelled, and the wide band operation will be achieved [9]. 41

48 a b c d DAC1 DAC2 DAC3 DAC4 = + a b c d 1 DAC a DAC2 b DAC3 c DAc4 d = Figure4-3 Data and Hold Interleaving [9] 4.3 The Interleaving and Return to Zero approach used in this project The block diagram of both data and hold interleaving DAC used in this project is shown in the figure 4-4. As it can be seen from the figure, each DAC works at interleaved phases of the clock and holds its output for the entire period of the clock. Consequently, if we consider that the second DAC is working on the phase shifted clock of the first DAC, the frequency domain components of the first and second DAC can be written as the following equations with taking in to account the effect of Sinc function on the amplitudes [9]: 42

49 Equation 4-3 DAC(I): ) Equation 4-4 DAC(II): ) DAC (I) Digital inputs 2 + DAC (II) Figure4-4 Interleaved DAC block diagram [9]. As it can be seen from the above formulas, the images of the two DACs have the same sign for even values of k, while having opposite signs for odd values. Consequently, the images with odd values of k cancel each other when the outputs of the two DACs are added, (the analog addition is done by return to zero technique). Therefore, the resulting spectrum will be like a single DAC running with twice sampling rate and it will be possible to generate output frequencies beyond the Nyquist frequency of the individual DACs. This is shown in figure 4-5. However, since the zero order hold function of each DAC is not changing with this technique and they will have a null at which is the new Nyquist frequency, the usable bandwidth will not improve as much. In order to push this null to 2, the return to zero technique as an analog switch is used. With return to zero technique, each DAC is only active for the half of the clock cycle, so the sinc function will have its null at 2 which is shown in the figure 4-6. Consequently, it can be concluded that two interleaved DACs with return to zero technique is equivalent to a single DAC which is running with twice sampling rate [9] [21]. 43

50 f f (a) (b) f (c Figure4-5 Image replicas of the first DAC (a), Image replicas of the second DAC (b) and Image replicas of the Interleaved DACs (c) [21]. However, it should be noted that in time interleaved digital to analog converters time alignment plays an important role. The cancellation of the images will not happen properly and unwanted spikes within the Nyquist band will occur if there will be any deviation from the ideal half sample time delay. Moreover, the two DACs must be balanced in terms of their amplitude. Correction algorithm for amplitude balance and time alignment might be applied to the system by the use of calibration filters if needed. The design of this filter is beyond the scope of this thesis, and aligned input clocks have applied to each block [9]. 44

51 2 Figure 4-6 Return-to-Zero Effect[21] 45

52 Chapter 5 Designed Direct digital frequency synthesizer 5.1 System Overview A four bit direct digital frequency synthesizer is designed in 65nm CMOS technology. In order to increase the bandwidth and sampling rate of the system interleaving with return to zero technique described in the previous chapter is used. Moreover, instead of the conventional digital thermometer decoder, a simple combination of a binary weighted DAC and a Flash ADC is used. This combination cuts the number of sine weighted current cells in half, with taking advantage of oversampling. Oversampling is known to put more requirements on the system and also using more power consumption. However, since the synthesized output frequency of the DDFS is restricted to be less than 3/8 of the sampling clock, due to limitations of the filter design in the real implementation [2], this drawback does not show a strong impact in our application. It also should be mentioned that the mismatch and dynamic nonlinearities of the binary weighted DAC such as glitches, will not affect the output spectrum of the synthesizer, since they will be tolerated by the comparators of the Flash ADC. However, the primarily purpose for choosing this architecture was to reach to a different contribution. The Block diagram of the designed DDFS is shown in the figure 5-1. The Phase Accumulator and the Complementor are behaviorally modeled in Verolg-A. The Verilog-A codes can be found in Appendix A. This system exploits the half wave symmetry of the sine wave by using the most significant bit of the phase accumulator; consequently, the sine weighted DAC only needs to produce the corresponding currents for the phases over the range of 0 to π. When the most significant bit turns to 1, the complementor inverts its input digital bits, so that a decreasing ramp will be achieved. The output of the complementor will be the input to a binary weighted DAC. The binary weighted DAC produces the analog information of the phases of the sine wave. The analog phase information of the sine wave will be the input to a flash ADC, so that the thermometer representation of the phase information is achieved. The block diagram of a 2 bit flash ADC is shown in the figure 5-2. The Flash ADC is known to be used in applications with tens of GHz sampling rates since all the conversion is done in one clock cycle. The Flash ADC converts the analog input to a thermometer code. Usually the thermometer code is fed to a thermometer to binary decoder to be converted to a digital binary code, which is not the case in 46

53 our system. As for the N bit flash ADC comparators are needed, usually their resolutions are restricted to maximum 8 bits. The circuit shown in the figure 5-3 followed by buffer is used as the comparator of the ADC. The produced thermometer codes will then fed to a sine weighted DAC, and turns on the correspondent number of cells. The currents of these cells are added together and a sine wave is produced at the output. MS FCW Phase Accumulator Complementor Binary Weighted DAC Flash ADC Sine weighted DAC (thermometer) Filter CLK Figure 5-1The block diagram of the designed DDFS. Vdd Vdd R R R R Figure 5-2 The Block Diagram of Flash ADC Figure 5-3 The comparator of ADC 47

54 The reference voltages of the flash ADC is calculated according to the following formula [11]: Equation 5-1 = - Equation 5-2 = + Figure 5-4 shows the block diagram of sine-weighted DAC. The currents of the sine weighted DAC is calculated as: Equation 5-3 o ] o ] o o ] 48

55 Figure 5-4 The block diagram of sine weighted DAC. The circuit of one current cell of the sine weighted DAC is shown in the figure 5-5. In order to achieve high spectral purity it is important that the output current of each of the current cells be as precise as possible. In order to decrease the impact of voltage variation of the drain-source of the current sources on the output current, long channel transistors have been used. Moreover, as for linear DAC, flip flops are used before the switches of the current cells, so that all the currents are switched to the output synchronized. The load resistor is selected 25Ω, to avoid the problem of the headroom in the interleaved system. 49

56 Digital Circuits M M Digital Circuits Biasing Circuits M2 M1 Figure 5-5 The Current Cell of Current Steering DAC Figure 5-6 shows the system level implementation using the interleaving approach. As it was discussed in more details in the previous chapter, when the output spectrum of two interleaved DACs are added with each other, the odd images and harmonics of the spectrums of each DAC cancel each other, consequently the resultant spectrum will be like the spectrum of one DAC with twice sampling rate and each DAC can produce output frequencies beyond their Nyquist frequency. However, as the zero order hold function of each DAC will not change with interleaving, to widening the bandwidth the return to zero should be used. With return to zero each DAC is only active for its half clock cycle, consequently, the null of the Sinc function will be pushed to the 2 (with the sampling rate of each DAC) from The return to zero can be done by injecting zeros to the input of binary weighted DAC for each half clock cycle of the sampling frequency or by discharging the switch transistor of the current cells, which is shown in the figure

57 RTZ Data φ DAC Flash ADC Sine DAC FCW CLK Phase Accumulator Complementor 4 MUX RTZ Data φ DAC Flash ADC Sine DAC Figure 5-6 The implemented System 51

58 Biasing Circuits M3 M4 Biasing Circuits CLKB M5 Digital Circuits M M Digital Circuits M6 CLK Biasing Circuits M2 M1 Figure 5-7 Return to Zero, using discharge transistors 5.2 High Level Simulation Results The architecture described in the previous section was first simulated behaviorally in Verilog-A, with Cadence Virtuoso design tool. The phase Accumulator was designed for 4 bits. With 3 bit flash ADC and sine weighted DAC and 4 GHz sampling clock frequency, the frequency resolution of in the Nyquist bandwidth is achieved. Equation 5-4 = 250 MHz 52

59 Figure 5-8 shows the triangle and sine waves generated with FCW=1. Figure 5-9, shows the output spectrum of the synthesized frequency for FCW= 7, resulting in GHz output frequency. Equation 5-5 = 4 GHz= 1.75 GHz The image replica ( ) of is shown in the spectrum. The SFDR in the Nyquist-Band is equal to: Equation 5-6 = = 4GHz GHz= 2.25 GHz Equation (db) (db) = (db) This design is followed by a simple low pass RC filter. Figure 5-10 shows the SFDR versus different control words.as it can be seen from this figure, the SFDR is highest for the low synthesized frequencies (73 db) and decreases to (64 db) for near Nyquist synthesized frequency. Figure 5-8 The generated triangle and sine waves with FCW=1. 53

60 SFDR= 66 db (1.75 GHz, ) 2.25 GHz, Figure5-9 The output spectrum of 1.75 GHz output frequency. Figure5-10 The SFDR versus FCW 54

61 5.3 Transistor Level Simulation Results The described system was designed and implemented in 65 nm CMOS technology, with 4 bit phase accumulator and 3 bit flash ADC and Sine Weighted DAC. The DDFS has been sampled with 3.2 GHz and 6.4 GHz clock frequencies. With 3.2 GHz the synthesizer was able to synthesize outputs in 8 levels with each level 200 MHz. Obviously, with 6.4 GHz sampling frequency the frequency resolution was 400 MHz. Figures 5-11 and 5-12 illustrates the generated sine-waveforms for FCW=2, with 3.2 GHz and 6.4 GHz sampling frequencies respectively. Figure5-11 The sine wave generated with FCW=2, MHz and 3.2 GHz sampling frequency. 55

62 Figure 5-12 The sine wave generated with FCW=2, MHz and 6.4 GHz sampling frequency. Figure 5-13 shows the output spectrum of DDFS with 400 MHz output frequency and 3.2 GHz clock frequency. In the output spectrum the worst case spurs in the Nyquist Bandwidth is the third harmonic. The DDFS showed the SFDR of 60 db. The first image replica at 2.8 GHz is also shown in the figure. Equation (dB) (dB)= (db) Equation 5-9 = 3.2 GHz= 400 MHz Equation 5-10 = = 3.2GHz- 400 MHz= 2.8 GHz 56

63 (Synthesized Frequency: 400 MHz, db) (Image Replica: 2.8 GHz, dBdB) SFDR=60dB (Third Harmonic: 1.2 GHz, dB) Figure 5-13 The output spectrum of DDFS MHz output frequency with 3.2 GHz sampling frequency. 57

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

NON-LINEAR D/A CONVERTERS FOR DIRECT DIGITAL FREQUENCY SYNTHESIZERS ZHIHE ZHOU

NON-LINEAR D/A CONVERTERS FOR DIRECT DIGITAL FREQUENCY SYNTHESIZERS ZHIHE ZHOU NON-LINEAR D/A CONVERTERS FOR DIRECT DIGITAL FREQUENCY SYNTHESIZERS By ZHIHE ZHOU A dissertation submitted in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY WASHINGTON STATE

More information

Direct Digital Synthesis Primer

Direct Digital Synthesis Primer Direct Digital Synthesis Primer Ken Gentile, Systems Engineer ken.gentile@analog.com David Brandon, Applications Engineer David.Brandon@analog.com Ted Harris, Applications Engineer Ted.Harris@analog.com

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

The Fundamentals of Mixed Signal Testing

The Fundamentals of Mixed Signal Testing The Fundamentals of Mixed Signal Testing Course Information The Fundamentals of Mixed Signal Testing course is designed to provide the foundation of knowledge that is required for testing modern mixed

More information

New Features of IEEE Std Digitizing Waveform Recorders

New Features of IEEE Std Digitizing Waveform Recorders New Features of IEEE Std 1057-2007 Digitizing Waveform Recorders William B. Boyer 1, Thomas E. Linnenbrink 2, Jerome Blair 3, 1 Chair, Subcommittee on Digital Waveform Recorders Sandia National Laboratories

More information

Lecture 9, ANIK. Data converters 1

Lecture 9, ANIK. Data converters 1 Lecture 9, ANIK Data converters 1 What did we do last time? Noise and distortion Understanding the simplest circuit noise Understanding some of the sources of distortion 502 of 530 What will we do today?

More information

LAYOUT IMPLEMENTATION OF A 10-BIT 1.2 GS/s DIGITAL-TO-ANALOG CONVERTER IN 90nm CMOS

LAYOUT IMPLEMENTATION OF A 10-BIT 1.2 GS/s DIGITAL-TO-ANALOG CONVERTER IN 90nm CMOS LAYOUT IMPLEMENTATION OF A 10-BIT 1.2 GS/s DIGITAL-TO-ANALOG CONVERTER IN 90nm CMOS A thesis submitted in partial fulfilment of the requirements for the degree of Master of Science in Electrical Engineering

More information

TUTORIAL 283 INL/DNL Measurements for High-Speed Analog-to- Digital Converters (ADCs)

TUTORIAL 283 INL/DNL Measurements for High-Speed Analog-to- Digital Converters (ADCs) Maxim > Design Support > Technical Documents > Tutorials > A/D and D/A Conversion/Sampling Circuits > APP 283 Maxim > Design Support > Technical Documents > Tutorials > High-Speed Signal Processing > APP

More information

ADC and DAC Standards Update

ADC and DAC Standards Update ADC and DAC Standards Update Revised ADC Standard 2010 New terminology to conform to Std-1057 SNHR became SNR SNR became SINAD Added more detailed test-setup descriptions Added more appendices Reorganized

More information

Appendix A Comparison of ADC Architectures

Appendix A Comparison of ADC Architectures Appendix A Comparison of ADC Architectures A comparison of continuous-time delta-sigma (CT ), pipeline, and timeinterleaved (TI) SAR ADCs which target wide signal bandwidths (greater than 100 MHz) and

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

A Novel Low-Power High-Resolution ROM-less DDFS Architecture

A Novel Low-Power High-Resolution ROM-less DDFS Architecture A Novel Low-Power High-Resolution ROM-less DDFS Architecture M. NourEldin M., Ahmed Yahya Abstract- A low-power high-resolution ROM-less Direct Digital frequency synthesizer architecture based on FPGA

More information

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy Data Converters by FRANCO MALOBERTI Pavia University, Italy Springer Contents Dedicat ion Preface 1. BACKGROUND ELEMENTS 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 The Ideal Data Converter Sampling 1.2.1 Undersampling

More information

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic ISSN 2278 0211 (Online) A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic Mehul P. Patel M. E. Student (Electronics & communication Engineering) C.U.Shah College

More information

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03 Lecture 010 Introduction to Synthesizers (5/5/03) Page 010-1 LECTURE 010 INTRODUCTION TO FREQUENCY SYNTHESIZERS (References: [1,5,9,10]) What is a Synthesizer? A frequency synthesizer is the means by which

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12.

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12. Analog Signals Signals that vary continuously throughout a defined range. Representative of many physical quantities, such as temperature and velocity. Usually a voltage or current level. Digital Signals

More information

High Speed Direct Digital Frequency Synthesizer Using a New Phase accumulator

High Speed Direct Digital Frequency Synthesizer Using a New Phase accumulator Australian Journal of Basic and Applied Sciences, 5(11): 393-397, 2011 ISSN 1991-8178 High Speed Direct Digital Frequency Synthesizer Using a New Phase accumulator 1 Salah Hasan Ibrahim, 1 Sawal Hamid

More information

Lecture #6: Analog-to-Digital Converter

Lecture #6: Analog-to-Digital Converter Lecture #6: Analog-to-Digital Converter All electrical signals in the real world are analog, and their waveforms are continuous in time. Since most signal processing is done digitally in discrete time,

More information

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo.

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo. Nyquist Analog to Digital it Converters Tuesday, March 1st, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo 3.1 Introduction 3.1.1 DAC applications

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Chapter 2 Basics of Digital-to-Analog Conversion

Chapter 2 Basics of Digital-to-Analog Conversion Chapter 2 Basics of Digital-to-Analog Conversion This chapter discusses basic concepts of modern Digital-to-Analog Converters (DACs). The basic generic DAC functionality and specifications are discussed,

More information

EE 435. Lecture 32. DAC Design. Parasitic Capacitances. The String DAC

EE 435. Lecture 32. DAC Design. Parasitic Capacitances. The String DAC EE 435 Lecture 32 DAC Design The String DAC Parasitic Capacitances . eview from last lecture. DFT Simulation from Matlab . eview from last lecture. Summary of time and amplitude quantization assessment

More information

CHAPTER. delta-sigma modulators 1.0

CHAPTER. delta-sigma modulators 1.0 CHAPTER 1 CHAPTER Conventional delta-sigma modulators 1.0 This Chapter presents the traditional first- and second-order DSM. The main sources for non-ideal operation are described together with some commonly

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45 INF440 Noise and Distortion Jørgen Andreas Michaelsen Spring 013 1 / 45 Outline Noise basics Component and system noise Distortion Spring 013 Noise and distortion / 45 Introduction We have already considered

More information

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs Advanced AD/DA converters Overview Why ΔΣ DACs ΔΣ DACs Architectures for ΔΣ DACs filters Smoothing filters Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Advanced

More information

Wideband Frequency Synthesizer Implementation using FPGA

Wideband Frequency Synthesizer Implementation using FPGA GRD Journals- Global Research and Development Journal for Engineering Volume 2 Issue 7 June 2017 ISSN: 2455-5703 Wideband Frequency Synthesizer Implementation using FPGA Jasmanpreet Singh Mrs. Monika Aggarwal

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Capacitance Effects ON D/A Converters

Capacitance Effects ON D/A Converters M.Tech credit seminar report, Electronic systems group, EE. Dept. submitted in Nov.2003 Capacitance Effects ON D/A Converters Paresh Udawant (03307919) Supervisor: Prof. T. S. Rathore Abstract : This paper

More information

Design of an 8-bit Successive Approximation Pipelined Analog to Digital Converter (SAP- ADC) in 90 nm CMOS

Design of an 8-bit Successive Approximation Pipelined Analog to Digital Converter (SAP- ADC) in 90 nm CMOS Design of an 8-bit Successive Approximation Pipelined Analog to Digital Converter (SAP- ADC) in 90 nm CMOS A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science

More information

A Technical Tutorial on Digital Signal Synthesis

A Technical Tutorial on Digital Signal Synthesis A Technical Tutorial on Digital Signal Synthesis Copyright 1999 Analog Devices, Inc. 1 Outline Section 1. Fundamentals of DDS technology Theory of operation Circuit architecture Tuning equation Elements

More information

A 8-Bit Hybrid Architecture Current-Steering DAC

A 8-Bit Hybrid Architecture Current-Steering DAC A 8-Bit Hybrid Architecture Current-Steering DAC Mr. Ganesha H.S. 1, Dr. Rekha Bhandarkar 2, Ms. Vijayalatha Devadiga 3 1 Student, Electronics and communication, N.M.A.M. Institute of Technology, Karnataka,

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

Testing A/D Converters A Practical Approach

Testing A/D Converters A Practical Approach Testing A/D Converters A Practical Approach Mixed Signal The seminar entitled Testing Analog-to-Digital Converters A Practical Approach is a one-day information intensive course, designed to address the

More information

for amateur radio applications and beyond...

for amateur radio applications and beyond... for amateur radio applications and beyond... Table of contents Numerically Controlled Oscillator (NCO) Basic implementation Optimization for reduced ROM table sizes Achievable performance with FPGA implementations

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

Design Strategy for a Pipelined ADC Employing Digital Post-Correction

Design Strategy for a Pipelined ADC Employing Digital Post-Correction Design Strategy for a Pipelined ADC Employing Digital Post-Correction Pieter Harpe, Athon Zanikopoulos, Hans Hegt and Arthur van Roermund Technische Universiteit Eindhoven, Mixed-signal Microelectronics

More information

The Importance of Data Converter Static Specifications Don't Lose Sight of the Basics! by Walt Kester

The Importance of Data Converter Static Specifications Don't Lose Sight of the Basics! by Walt Kester TUTORIAL The Importance of Data Converter Static Specifications Don't Lose Sight of the Basics! INTRODUCTION by Walt Kester In the 1950s and 1960s, dc performance specifications such as integral nonlinearity,

More information

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications Trindade, M. Helena Abstract This paper presents a Digital to Analog Converter (DAC) with 7 bit resolution and a sampling rate of 3.52 GHz to

More information

System on a Chip. Prof. Dr. Michael Kraft

System on a Chip. Prof. Dr. Michael Kraft System on a Chip Prof. Dr. Michael Kraft Lecture 5: Data Conversion ADC Background/Theory Examples Background Physical systems are typically analogue To apply digital signal processing, the analogue signal

More information

A 1.5-V 14-Bit 100-MS/s Self-Calibrated DAC

A 1.5-V 14-Bit 100-MS/s Self-Calibrated DAC IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 2051 A 1.5-V 14-Bit 100-MS/s Self-Calibrated DAC Yonghua Cong, Student Member, IEEE, and Randall L. Geiger, Fellow, IEEE Abstract Large-area

More information

3. DAC Architectures and CMOS Circuits

3. DAC Architectures and CMOS Circuits 1/30 3. DAC Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

Tuesday, February 22nd, 9:15 11:10. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo

Tuesday, February 22nd, 9:15 11:10. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo Nyquist Digital to Analog Converters Tuesday, February 22nd, 9:15 11:10 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo February the 15th 1.1 The ideal data

More information

The need for Data Converters

The need for Data Converters The need for Data Converters ANALOG SIGNAL (Speech, Images, Sensors, Radar, etc.) PRE-PROCESSING (Filtering and analog to digital conversion) DIGITAL PROCESSOR (Microprocessor) POST-PROCESSING (Digital

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators

Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators Milan Stork Applied Electronics and Telecommunications, Faculty of Electrical Engineering/RICE University of West Bohemia,

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Lab.3. Tutorial : (draft) Introduction to CODECs

Lab.3. Tutorial : (draft) Introduction to CODECs Lab.3. Tutorial : (draft) Introduction to CODECs Fig. Basic digital signal processing system Definition A codec is a device or computer program capable of encoding or decoding a digital data stream or

More information

A 10-BIT 1.2-GS/s NYQUIST CURRENT-STEERING CMOS D/A CONVERTER USING A NOVEL 3-D DECODER

A 10-BIT 1.2-GS/s NYQUIST CURRENT-STEERING CMOS D/A CONVERTER USING A NOVEL 3-D DECODER A 10-BT 1.-GS/s NYQUST CURRENT-STEERNG CMOS D/A CONVERTER USNG A NOVEL 3-D DECODER Paymun Aliparast Nasser Nasirzadeh e-mail: peyman.aliparast@elec.tct.ac.ir e-mail: nnasirzadeh@elec.tct.ac.ir Tabriz College

More information

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC M. Åberg 2, A. Rantala 2, V. Hakkarainen 1, M. Aho 1, J. Riikonen 1, D. Gomes Martin 2, K. Halonen 1 1 Electronic Circuit Design Laboratory Helsinki University

More information

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering.

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering. NPTEL Syllabus VLSI Data Conversion Circuits - Video course COURSE OUTLINE This course covers the analysis and design of CMOS Analog-to-Digital and Digital-to-Analog Converters,with about 7 design assigments.

More information

ADVANCED WAVEFORM GENERATION TECHNIQUES FOR ATE

ADVANCED WAVEFORM GENERATION TECHNIQUES FOR ATE ADVANCED WAVEFORM GENERATION TECHNIQUES FOR ATE Christopher D. Ziomek Emily S. Jones ZTEC Instruments, Inc. 7715 Tiburon Street NE Albuquerque, NM 87109 Abstract Comprehensive waveform generation is an

More information

Chapter 2 Signal Conditioning, Propagation, and Conversion

Chapter 2 Signal Conditioning, Propagation, and Conversion 09/0 PHY 4330 Instrumentation I Chapter Signal Conditioning, Propagation, and Conversion. Amplification (Review of Op-amps) Reference: D. A. Bell, Operational Amplifiers Applications, Troubleshooting,

More information

Analyzing A/D and D/A converters

Analyzing A/D and D/A converters Analyzing A/D and D/A converters 2013. 10. 21. Pálfi Vilmos 1 Contents 1 Signals 3 1.1 Periodic signals 3 1.2 Sampling 4 1.2.1 Discrete Fourier transform... 4 1.2.2 Spectrum of sampled signals... 5 1.2.3

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

Electronics A/D and D/A converters

Electronics A/D and D/A converters Electronics A/D and D/A converters Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED December 1, 2014 1 / 26 Introduction The world is analog, signal processing nowadays is

More information

Dynamic calibration of current-steering DAC

Dynamic calibration of current-steering DAC Retrospective Theses and Dissertations Iowa State University Capstones, Theses and Dissertations 2007 Dynamic calibration of current-steering DAC Chao Su Iowa State University Follow this and additional

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

Analog-to-Digital Converter Survey & Analysis. Bob Walden. (310) Update: July 16,1999

Analog-to-Digital Converter Survey & Analysis. Bob Walden. (310) Update: July 16,1999 Analog-to-Digital Converter Survey & Analysis Update: July 16,1999 References: 1. R.H. Walden, Analog-to-digital converter survey and analysis, IEEE Journal on Selected Areas in Communications, vol. 17,

More information

A 130-NM CMOS 400 MHZ 8-BIT LOW POWER BINARY WEIGHTED CURRENT STEERING DAC

A 130-NM CMOS 400 MHZ 8-BIT LOW POWER BINARY WEIGHTED CURRENT STEERING DAC A 130-NM CMOS 400 MHZ 8-BIT LOW POWER BINARY WEIGHTED CURRENT STEERING DAC Ashok Kumar Adepu and Kiran Kumar Kolupuri Department of Electronics and communication Engineering,MVGR College of Engineering,

More information

On the Study of Improving Noise Shaping Techniques in Wide Bandwidth Sigma Delta Modulators

On the Study of Improving Noise Shaping Techniques in Wide Bandwidth Sigma Delta Modulators On the Study of Improving Noise Shaping Techniques in Wide Bandwidth Sigma Delta Modulators By Du Yun Master Degree in Electrical and Electronics Engineering 2013 Faculty of Science and Technology University

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

DESIGN OF ULTRA HIGH SPEED FLASH ADC, LOW POWER FOLDING AND. INTERPOLATING ADC IN CMOS 90nm TECHNOLOGY

DESIGN OF ULTRA HIGH SPEED FLASH ADC, LOW POWER FOLDING AND. INTERPOLATING ADC IN CMOS 90nm TECHNOLOGY DESIGN OF ULTRA HIGH SPEED FLASH ADC, LOW POWER FOLDING AND INTERPOLATING ADC IN CMOS 90nm TECHNOLOGY A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

Design of 28 nm FD-SOI CMOS 800 MS/s SAR ADC for wireless applications

Design of 28 nm FD-SOI CMOS 800 MS/s SAR ADC for wireless applications Design of 28 nm FD-SOI CMOS 800 MS/s SAR ADC for wireless applications Master s thesis in Embedded Electronic System Design VICTOR ÅBERG Department of Computer Science and Engineering CHALMERS UNIVERSITY

More information

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection Maxim > Design Support > Technical Documents > Application Notes > Communications Circuits > APP 3942 Maxim > Design Support > Technical Documents > Application Notes > High-Speed Interconnect > APP 3942

More information

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing Fundamentals of Data Converters DAVID KRESS Director of Technical Marketing 9/14/2016 Analog to Electronic Signal Processing Sensor (INPUT) Amp Converter Digital Processor Actuator (OUTPUT) Amp Converter

More information

Advanced Digital Signal Processing Part 2: Digital Processing of Continuous-Time Signals

Advanced Digital Signal Processing Part 2: Digital Processing of Continuous-Time Signals Advanced Digital Signal Processing Part 2: Digital Processing of Continuous-Time Signals Gerhard Schmidt Christian-Albrechts-Universität zu Kiel Faculty of Engineering Institute of Electrical Engineering

More information

Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation

Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation Angelo Zucchetti Advantest angelo.zucchetti@advantest.com Introduction Presented in this article is a technique for generating

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer Kaustubh Wagle and Niels Knudsen National Instruments, Austin, TX Abstract Single-bit delta-sigma

More information

Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications

Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications RESEARCH ARTICLE OPEN ACCESS Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications Sharon Theresa George*, J. Mangaiyarkarasi** *(Department of Information and Communication

More information

Analog-to-Digital i Converters

Analog-to-Digital i Converters CSE 577 Spring 2011 Analog-to-Digital i Converters Jaehyun Lim, Kyusun Choi Department t of Computer Science and Engineering i The Pennsylvania State University ADC Glossary DNL (differential nonlinearity)

More information

HIGH synthesized frequencies can be achieved using

HIGH synthesized frequencies can be achieved using 2064 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 9, SEPTEMBER 2011 A 5-GHz Direct Digital Frequency Synthesizer Using an Analog-Sine-Mapping Technique in 0.35- msigebicmos Ching-Yuan Yang, Member,

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

System on a Chip. Prof. Dr. Michael Kraft

System on a Chip. Prof. Dr. Michael Kraft System on a Chip Prof. Dr. Michael Kraft Lecture 4: Filters Filters General Theory Continuous Time Filters Background Filters are used to separate signals in the frequency domain, e.g. remove noise, tune

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C5 - Special A/D converters» Logarithmic conversion» Approximation, A and µ laws» Differential converters» Oversampling, noise shaping Logarithmic

More information

Design of 10-bit current steering DAC with binary and segmented architecture

Design of 10-bit current steering DAC with binary and segmented architecture IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 13, Issue 3 Ver. III (May. June. 2018), PP 62-66 www.iosrjournals.org Design of 10-bit current

More information

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 Many of these slides were provided by Dr. Sebastian Hoyos January 2019 Texas A&M University 1 Spring, 2019 Outline Fundamentals of Analog-to-Digital

More information

A 2GS/s 14-bit currentsteering. technology for wireless transmitter

A 2GS/s 14-bit currentsteering. technology for wireless transmitter This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. A 2GS/s 14-bit currentsteering DAC in 65nm CMOS technology for wireless transmitter Luxun

More information

A 100-MHz 8-mW ROM-Less Quadrature Direct Digital Frequency Synthesizer

A 100-MHz 8-mW ROM-Less Quadrature Direct Digital Frequency Synthesizer IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 10, OCTOBER 2002 1235 A 100-MHz 8-mW ROM-Less Quadrature Direct Digital Frequency Synthesizer Ahmed Nader Mohieldin, Student Member, IEEE, Ahmed A. Emira,

More information

A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER

A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER 3 A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER Milan STORK University of West Bohemia UWB, P.O. Box 314, 30614 Plzen, Czech Republic stork@kae.zcu.cz Keywords: Coincidence, Frequency mixer,

More information

Institutionen för systemteknik

Institutionen för systemteknik Institutionen för systemteknik Department of Electrical Engineering Examensarbete Low-power 8-bit Pipelined ADC with current mode Multiplying Digital-to-Analog Converter (MDAC) Examensarbete utfört i Elektroniska

More information

Oversampling Converters

Oversampling Converters Oversampling Converters Behzad Razavi Electrical Engineering Department University of California, Los Angeles Outline Basic Concepts First- and Second-Order Loops Effect of Circuit Nonidealities Cascaded

More information

Performance Analysis of 4-bit Flash ADC with Different Comparators Designed in 0.18um Technology

Performance Analysis of 4-bit Flash ADC with Different Comparators Designed in 0.18um Technology Performance Analysis of 4-bit Flash with Different Comparators Designed in 0.18um Technology A.Nandhini PG Scholar, Dept of ECE Kumaraguru College of Technology Coimbatore -641 049 M.Shanthi Associate

More information

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs High-Speed Analog to Digital Converters Ann Kotkat Barbara Georgy Mahmoud Tantawi Ayman Sakr Heidi El-Feky Nourane Gamal 1 Outline Introduction. Process of ADC. ADC Specifications. Flash ADC. Pipelined

More information

EE 421L Digital Electronics Laboratory. Laboratory Exercise #9 ADC and DAC

EE 421L Digital Electronics Laboratory. Laboratory Exercise #9 ADC and DAC EE 421L Digital Electronics Laboratory Laboratory Exercise #9 ADC and DAC Department of Electrical and Computer Engineering University of Nevada, at Las Vegas Objective: The purpose of this laboratory

More information

12 Bit 1.5 GS/s Return to Zero DAC

12 Bit 1.5 GS/s Return to Zero DAC 12 Bit 1.5 GS/s Return to Zero DAC RDA112RZ Features 12 Bit Resolution 1.5 GS/s Sampling Rate 10 Bit Static Linearity LVDS Compliant Digital Inputs Power Supply: -5.2V, +3.3V Input Code Format: Offset

More information

THE pressure to reduce cost in mass market communication

THE pressure to reduce cost in mass market communication 1948 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 12, DECEMBER 1998 A 10-b, 500-MSample/s CMOS DAC in 0.6 mm Chi-Hung Lin and Klaas Bult Abstract A 10-b current steering CMOS digital-to-analog converter

More information

Instantaneous Loop. Ideal Phase Locked Loop. Gain ICs

Instantaneous Loop. Ideal Phase Locked Loop. Gain ICs Instantaneous Loop Ideal Phase Locked Loop Gain ICs PHASE COORDINATING An exciting breakthrough in phase tracking, phase coordinating, has been developed by Instantaneous Technologies. Instantaneous Technologies

More information