Optical technologies for data communication in large parallel systems

Size: px
Start display at page:

Download "Optical technologies for data communication in large parallel systems"

Transcription

1 Journal of Instrumentation OPEN ACCESS Optical technologies for data communication in large parallel systems To cite this article: M B Ritter et al View the article online for updates and enhancements. Related content - Roadmap of optical communications Erik Agrell, Magnus Karlsson, A R Chraplyvy et al. - Roadmap on silicon photonics David Thomson, Aaron Zilkie, John E Bowers et al. - Silicon photonic modulators for PAM transmissions Wei Shi, Yelong Xu, Hassan Sepehrian et al. This content was downloaded from IP address on 14/08/2018 at 13:11

2 PUBLISHED BY IOP PUBLISHING FOR SISSA TOPICAL WORKSHOP ON ELECTRONICS FOR PARTICLE PHYSICS 2010, SEPTEMBER 2010, AACHEN, GERMANY RECEIVED: November 2, 2010 ACCEPTED: December 13, 2010 PUBLISHED: January 5, 2011 Optical technologies for data communication in large parallel systems M.B. Ritter, a,1 Y. Vlasov, a J.A. Kash a and A. Benner b a IBM T.J. Watson Research Center, Yorktown Heights, NY, U.S.A. b IBM Poughkeepsie, Poughkeepsie, NY, U.S.A. mritter@us.ibm.com ABSTRACT: Large, parallel systems have greatly aided scientific computation and data collection, but performance scaling now relies on chip and system-level parallelism. This has happened because power density limits have caused processor frequency growth to stagnate, driving the new multi-core architecture paradigm, which would seem to provide generations of performance increases as transistors scale. However, this paradigm will be constrained by electrical I/O bandwidth limits; first off the processor card, then off the processor module itself. We will present best-estimates of these limits, then show how optical technologies can help provide more bandwidth to allow continued system scaling. We will describe the current status of optical transceiver technology which is already being used to exceed off-board electrical bandwidth limits, then present work on silicon nanophotonic transceivers and 3D integration technologies which, taken together, promise to allow further increases in off-module and off-card bandwidth. Finally, we will show estimated limits of nanophotonic links and discuss breakthroughs that are needed for further progress, and will speculate on whether we will reach Exascale-class machine performance at affordable powers. KEYWORDS: Data acquisition circuits; Special cables; Hardware and accelerator control systems 1 Corresponding author. c 2011 IOP Publishing Ltd and SISSA doi: / /6/01/c01012

3 Contents 1 Introduction 1 2 System bandwidth scaling 2 3 Electrical bandwidth limits 2 4 Integrated optical transceivers 5 5 Integrated silicon nanophotonics 8 6 Power and cost constraints 10 7 Summary and conclusions 11 1 Introduction High performance computing (HPC) system performance scaling has benefitted large physics experiments requiring large amounts of data to be logged in real-time. System performance in the early 1990 s was driven both by architectures allowing increased instruction-level parallelism (ILP, multiple arithmetic units, for example), and by Dennard scaling [1] which resulted in improved transistor switching speed with each lithographic generation. As a consequence, more instructions could be executed per cycle, and clock speeds were increasing. ILP advances reached a plateau in the mid 90 s, but clock speeds continued to climb until the early years of the new millennia, allowing single-thread performance, hence system performance, to continue increasing. This trend could have continued if cooling had not been an issue; however, once processor chip powers began to exceed 100 Watts, a new paradigm was adopted to continue HPC performance scaling: the era of multicore. Moore s law [2] has driven performance gains for the last six years; though the processor frequency has reached a plateau to avoid power density increases, it has been possible to cram more processor cores on the chip for each new generation of CMOS technology. Core and thread-level parallelism now allow continued system scaling. [3] However, to maintain HPC performance scaling with increased parallelism requires a concomitant increase in communication bandwidth. The purpose of this paper is to look at the limits to communication bandwidth in parallel systems and show how new technologies, like integrated silicon nanophotonics, promise to extend bandwidth scaling trends and system performance. 1

4 Figure 1. Number of signal and reference pins on a processor chip given the number of cores on a chip. Differential signaling with one reference pin per differential pair is assumed. Assumptions: 1 B/Flop memory, 0.1 B/Flop processor-to-processor bus, 0.05 B/Flop I/O (Ethernet, etc.) and an instruction-level parallelism of 4 (on average) for transmitted and received data, and 3 pins/differential pair. 2 System bandwidth scaling System designers have certain rules-of-thumb for bandwidth partitioning when architecting new machines. Bandwidth allocation does depend on applications and workloads, but we take Amdahl s rule of thumb for system balance for a parallel system as a particular case. By this rule of thumb 1 byte/flop of memory bandwidth is required for each instruction per cycle, 0.1 byte/flop for processor-to-processor data bandwidth, and 0.05 byte/flop for I/O bandwidth (to disks, Ethernet, etc., and this must be both in send and receive pins). This bandwidth scaling assumption has worked well for many generations of parallel machines to balance bandwidth between processors with the bandwidth from processor to memory and I/O. If we take Amdahl s bandwidth partitioning as a concrete case, assume 4 instructions/cycle and constant core function and clock frequency (3 GHz) due to power, we can estimate the total I/O bandwidth required per processor chip given the number of cores on that chip. Assuming an (optimistic and constant) I/O bandwidth of 10 Gb/s per differential pair, it is easy to see that the number of pins required on a chip quickly exceeds practical limits of roughly 10,000 signal and reference pins for 128 cores on a die of 400 mm 2 area (figure 1; the limit is less than 10,000 because note that not all pins can be devoted to I/O). Some hope has been expressed that 3D silicon integration will allow more cores on a die by separating cache and processing functions into different planes but our simple analysis shows that adding more cores only exacerbates these off-chip bandwidth bottlenecks. In the remainder of this paper, we will show approximate off-card and off-module bandwidth limits for practical packaging technologies, and what can be done to exceed these limits. 3 Electrical bandwidth limits What determines practical bandwidth limits of processor cards in a typical HPC system? There is no definitive answer to this question because one can always spend more money on expensive packages, boards, and connectors. However, we can get a good idea of the limits by performing detailed electromagnetic modeling of present-day high-end packaging materials and combine this 2

5 Figure 2. Cross-section of on-card processor-to-processor link. with simulations of equalized I/O on our processor chips to estimate practical maximum achievable bitrates. When we combine per-pin bitrates with physical density limitations of various hierarchies of the system packaging, we can estimate the system bandwidth bottlenecks. Only when one understands where and why bottlenecks exist can solutions be proposed to provide more bandwidth. Figure 2 shows an idealized module-to-module link on a printed circuit board. We assume that there are processor chips mounted on two modules (the first-level package) which are connected to the board by ball-grid array solder balls or demountable connectors, and communicate with each other electrically. The printed circuit board is a multi-layer structure with advanced, lowloss dielectric (Megtron 6), and controlled-impedance differential striplines connecting the two modules. Commercial electromagnetic full-wave finite-element simulators exist which can solve Maxwell s equations for this structure; however, for any practical structure the size of the problem is intractable because the combination of length scales from 100 micron wire widths to 60 centimeters wire length create too fine a finite-element mesh and hence too many variables to admit simulation in a practical time. Therefore, we divide the structure into pieces, solve each separately, then concatenate the results for these different regions. Figure 3 shows the path of figure 2 chopped into regions; each section of the model is simulated separately and concatenated to find the overall response. An example of model-hardware correlation for a 60 cm link is shown in figure 4, where we demonstrate db model-hardware correlation for through loss (S21) more than adequate for our simulation purposes [4]. To compute I/O speed limits, we employ a custom simulator that includes the computed link loss (figure 4) and a behavioral model of I/O performance, including clocking jitter, amplitude noise, and crosstalk as computed from the electromagnetic modeling. This internal simulator allows exploration of different modulation schemes and amounts of equalization. As shown in figure 5, the maximum bandwidth for this particular 60 cm link is between 20 and 25 Gb/s for NRZ signaling, with duobinary and four-level (PAM4) signaling performing more poorly than NRZ signaling with feed-forward (FFE) and decision-feedback (DFE) equalization [4]. Large servers typically have many of these processor boards interconnected through a passive backplane using electrical connectors. Models for a link from one processor card to another through a passive backplane and two connectors show a loss increase due to the four added vias (two at each connector) and the backplane striplines which limits off-card bitrates to less than 10 Gb/s. Combining the estimated limits of per-pin bitrate with geometrical escape estimates gives the bandwidth escape for each level of package. We assume the pins (C4) on a chip are limited to a pitch of 150 to 200 microns, and also assume that the module has up to 8 metal levels with wiring pitch much finer than the C4 pin pitch (a range of pitches was assumed, with some beyond 3

6 Figure 3. Electromagnetic simulation of sub-elements of the packaging path is required for tractable computations. The chip solder balls (C4), module ball grid array (BGA), land-grid array connector (LGA), and printed circuit board lines (PCB) are all separately simulated, then concatenated. Figure 4. Link loss (S21) vs. frequency (GHz) for the link with 60 cm long PCB striplines shown in figure 3. present capabilities). This allows a bandwidth escape of between 56 and 112 Tb/s beneath the chip (figure 9) on the module for a 400 mm 2 chip with 200 Watt dissipation ( 400 power and ground pins for 1V supply). Transitioning to the next level of packaging, vias in the printed circuit board (PCB) are limited to a pitch of around 1 mm due to the necessity of escaping one differential pair between two vias beneath the module. Using this fact, one can compute a module bandwidth escape limit of between roughly 12 and 29 Tb/s, depending on the number of layers in the circuit board, on the use of advanced technologies which do not require the vias to go through the entire board, and 4

7 Figure 5. Throughput (Gb/s) vs. distance for various modulation schemes for the link described in the text. on the module signal pitch (which can be made slightly smaller than 1 mm perhaps 0.8 mm). Card edge connectors have mechanical constraints (insertion force) limiting practical connectors to 8 pairs/mm card edge. Using this and per-pin data rates, escape bandwidths are limited to 8 Tb/s for a 22 cm card edge length. Summarizing our estimates, the electrical escape bandwidth limits for a server chip with power dissipation of 200 Watts, area of 400 mm 2, and advanced packaging materials (not yet standard today) gives chip escape bandwidth limits of 56 Tb/s, module escape bandwidths of 12 Tb/s, and card edge escape of 8 Tb/s (For more details on these estimates, see [4]). 4 Integrated optical transceivers Optical communication technologies have been used for more than a decade at the card edge for rack-to-rack long-distance communication where the superior distance-bandwidth product of the optical media is unchallenged. Electrical communication continues to dominate for distances of less than a few meters because electrical links still provide the necessary bandwidth at a lower cost than optical technology. However, in very high-end HPC systems, like the P7 IH Blue Waters system to be installed by IBM, available electrical off-card bandwidths are inadequate, driving denser optical packaging. Shown in figure 6a shows the P7 IH node, approximately 1 meter wide by 1.7 meters long, which has all-optical off-node communication. The optical transceivers are mounted with switch chips on 8 multi-layer ceramic (MLC) carriers, each containing up to 56 optical modules having 12 lanes of 10 Gb/s data. Each module allows the escape of 4 Tb/s data from 12.5 cm card edge, which exceeds the practical electrical escape bandwidth limit. Future systems will require ever-greater escape bandwidth, hence even denser optical technologies. One approach to achieve this employs advanced packaging to integrate two-dimensional arrays of optical transmitters and receivers in a small area. The Terabus Program at IBM Research has focused on packaging integration by using flip-chip packaging in place of traditional wirebonds on the transceivers in conjunction with polymer waveguides on cards. 2D arrays of VCSELs and photodiodes are coupled to CMOS driver and receiver circuitry. In one version [5] (figure 7a), 985 nm VCSELs emit through a transparent GaAs substrate to an integrated lens array, and are 5

8 Figure 7. Schematic cross-section (a) of 985nm integrated transceiver with OE devices (pink) flip-chip attached to CMOS transceiver IC (grey) mounted on an organic module (SLC). A lens array focuses light to/from waveguides on the printed circuit board surface (blue). Assembled 985nm Optomodule (b, left and center) and 5 3mm Optochip (b, right). The bottom view (b, center) shows an optical access hole cut in the module to allow light to pass through to the waveguides. The BGA balls are cleared to allow planar polymer waveguide access. re-focused into waveguides on the board by another lens array, with the receiver packaged in a similar manner. Another version of the transceivers (not shown) flips an 850nm VCSEL array onto a silicon driver chip with etched holes allowing the light to pass through the driver chip to a lens array (not shown) [6]. Driver and receiver array circuitry has been demonstrated operating at up to 20 Gb/s per channel over 48 channels, achieving nearly 1 Tb/s aggregate data rates in a 3 5 mm silicon footprint. Figure 7b (right) shows the assembled optochip, and to the left is a BGA package with the optochip attached. The bottom view shows an optical access hole cut in the module to allow light to pass through to the optochip with BGA balls cleared to allow planar polymer waveguide access. Employing this integration technology, one could build a module with a processor chip in the 6 Figure 6. (a) P7 IH node with optical MCM (red circle), processor MCM (blue circle) and memory. (b) An enlarged view of the 8 8 mm Avago MicroPODT M optical modules, the multi-layer ceramic (MLC) module, and the completed module assembly.

9 Figure 8. Notional design for module with optical signal escape. Figure 9. Bandwidth of on-card elements in the electrical (left) and optical (right) packaging hierarchies (this comparison does not show off-card bandwidths). center and optochips arranged around the perimeter, as shown in a notional design in figure 8. Each optochip requires a hole for the light to pass through the module to waveguides in the board, so there must be spaces between the optochips to allow wiring on the module or waveguides on the board to pass, resulting in the staggered notional design. For a 50 mm organic module, one could then escape 46 Tb/s from a module with a single layer of planar waveguides at 62.5 µm spacing, and around 100 Tb/s with two-layers of planar waveguides. To summarize, we compare the escape bandwidth limit estimates for electrical and off-chip optical module technologies in figure 9. Ranges of bandwidths are given to account for likely reductions of interconnect dimensions (line widths, C4 pitches, etc), as well as the potential increase in the number of package layers. Note that the electrical card limits in this figure do not take into account card-edge connector bandwidth limitations it is rather dealing only with the potential wiring capacity between two modules on a printed circuit board. Card edge connector bandwidths, as noted earlier, limit electrical off-card bandwidths to 8 Tb/s for a 22cm board edge. On-module optical transceivers still require electrical I/O connections to the logic chip on the 7

10 Figure 10. Notional chip with 3D integration allowing separate logic, memory, and silicon nanophotonics planes. Figure 11. Silicon nanophotonics using SOI technology. Schematic cross-section (left) shows Si waveguide surrounded by SiO 2. Actual waveguide (right) is 400 nm 200 nm. module, so that a complete EOE link includes two short electrical links with an optical link in the middle. This reduces their power efficiency (table 1), and power and cost will likely favor electrical links for short-distance communications. Nevertheless, the higher module escape bandwidth afforded by optics will drive its use for on-card communications when electrical escape bandwidths create bottlenecks. 5 Integrated silicon nanophotonics Significant research effort has been expended to achieve integration of optical transceivers in CMOS-compatible silicon technology [7 12, 14]. Figure 10 shows a notional chip which assumes 3D silicon integration enabling layers of processing elements, memory, and optical I/O (photonics plane), allowing the ultimate in integration density. Integrating optical devices in SOI technology has allowed the ultimate in silicon nanophotonics miniaturization. As shown in figure 11, optical waveguides have rectangular silicon cores surrounded by lower refractive index SiO 2, allowing 400 nm wide waveguides. The large step in refractive index allows small radius bends and small ring filter structures for wavelength-division multiplexing (WDM) applications. Employing silicon as a waveguide requires modulators and receivers operating in the 1300 to 1500 nm wavelength ranges, where silicon is transparent and, fortunately, fiber loss and dispersion are at a minimum. Since silicon is an indirect band gap semiconductor, no efficient emitters are 8

11 Figure 12. Germanium photodiode (Ge PD) deposited on top of a silicon waveguide demonstrating avalanche gain and 40 Gb/s performance. Figure 13. Integrated planar grating WDM mux/demux demonstrating temperature-insensitive 8- wavelength selectivity with a 30 40µm footprint. possible, but good modulators can be made (of course, a transmitter then requires a III-V DC light source somewhere in the system). Mach-Zender interferometer (MZI) and ring resonator modulators have been fabricated and demonstrated to operate at 1 V or less drive swings and at bitrates of 10 to 20 Gb/s [7, 11]. Because we use silicon waveguides that allow tight bend radii, the length of the MZI can be made as small as 200 µm. An efficient photodetector (PD) has been designed and fabricated utilizing pure germanium deposited on top of the silicon waveguides [14] (figure 12). Because the Ge has a lower refractive index, light is coupled out of the silicon into the Ge detector. Low-noise avalanche gain and 40 Gb/s data rates have been demonstrated with the Ge PD biased at 1 V; the speed is high because the device is very small and has a capacitance on the order of 8 ff. Finally, silicon nanophotonics allows wavelength division multiplexing, wavelength add/drop multiplexers, and optical switch elements. An example of a planar grating wavelength mux/demux demonstrating 8-channel, temperature insensitive channel selection is shown in figure 13. With each channel modulated at 20 Gb/s, this allows 160 Gb/s per waveguide, greatly improving the offchip bandwidth that can be achieved. This device is also small, measuring only 30 40µm [12]. The escape bandwidth limit of integrated photonics is limited by the three surface problem [13]. The bottom surface of future chips will be consumed with power and ground pins and a 9

12 few low-frequency control signals. Barring advances in thermal technology, the top surface of the chip will be reserved for cooling, and will likely not allow perforation for escaping signals. This leaves only the edges of the 3D silicon chip to escape optical signals. Tapered-waveguide edge couplers have been demonstrated down to pitches of 20 µm, with denser pitches possible. This would allow a maximum of 4,000 optical channels to escape from a 2 2 cm chip. If each were carrying, say, 120 Gb/s WDM data, the aggregate data rate would be 480 Tb/s. It would seem that silicon photonics would solve the problem of escape bandwidth. However, we have not yet taken into account power considerations. 6 Power and cost constraints Each link, optical or electrical, must perform certain functions. Typically, wide, relatively slow on-chip electrical buses are serialized and sent off chip at faster rates to utilize a small number of off-chip channels efficiently. In most machines, the receiving clock domain is not synchronous with the sending clock; even if it were, temperature differences between sending and receiving chips over time result in slow variations in phase that must be tracked at the receiver for robust communication. The higher line speeds and phase tracking require clock generation and recovery circuits at the sending and receiving chips, respectively, as well as serializers and deserializers. In state-of-the-art short-distance (60 cm) electrical I/O, the clocking and serialization circuits consume almost half the I/O power. Optical links require all of the clocking and serialization of electrical links, so this cannot be eliminated; therefore, though today s optical links are much more efficient for long-distance transmission, they are less efficient for short links. In table 1 we present the efficiencies of equalized electrical, optical module, and integrated nanophotonics links. These are projected efficiencies for nm CMOS nodes, for state-of-theart optics and silicon nanophotonics. From the previous discussion, even if the photonic transmitters and receivers dissipate no power, it would only save half of the power of an electrical link. For off-chip optical modules, there are two short electrical links; even if we save power by good packaging, these two short links would add up to roughly 1 3 pj/bit. In the lab, VCSEL emitters and receiver amplifier links have demonstrated efficiencies around 4 5 pj/bit [5, 6], so complete links using optical modules would consume 5 8 pj/bit. Silicon nanophotonics eliminates the power of off-chip drivers, but the clocking power remains ( pj/bit), and to that one must add MZI or ring resonator driver and receive chain amplifiers, pushing the power to 2 3 mw/gb/s. Though estimates, the numbers in the table are probably realistic, and show that optical solutions will likely consume more power than short-distance (60 cm) electrical links over well-behaved channels. The power efficiencies of realistic IO circuits set a limit on bandwidth escape. With existing cooling solutions, we will not be able to escape much more than a few 10 s of Tb/s from a single chip or a single-chip module because the total I/O power becomes prohibitive, barring unforeseen advances in I/O efficiency. To underscore this, consider building an exascale system with only 0.4 Bytes/Flop optical communication bandwidth, and assume an efficiency of 3 mw/gb/s for optical links. Such a system would dissipate 12 Megawatts, a large fraction of total system power. Therefore, reducing I/O power is a key challenge for exascale systems. Cost issues are much harder to estimate, as they depend on volume adoption of optical technologies. Rather than attempt to estimate the cost of yet undeveloped technologies, we give an idea 10

13 Table 1. The efficiency of different communication link types and the total power required for 50 Tb/s off-module data bandwidth. Link Type Efficiency (pj/bit) Distance 50 Tb/s BW Power (W) Electrical 1 3 < 1 m Optical Module 5 8 < 100 m 350 Silicon Nanophotonics 2 3 km of what system designers could afford when building an exascale system. Assuming system performance trends continue, an exascale system could be built in To make such systems affordable, optics costs in 2018 would need to drop by almost two orders of magnitude from the cost today. Such aggressive cost reductions present another challenge to optical I/O technologies which silicon manufacturing techniques may help address. 7 Summary and conclusions Optical communication technologies are seeing increasing use in HPC systems where the distancebandwidth improvement, as well as improved bandwidth escape density and power efficiency for links over 5m are essential to system performance. We have reviewed electrical performance and bandwidth escape limits at various levels of the packaging hierarchy beyond which optical technologies will become necessary. Rack-to-rack links are already optical, and the Power7 IH machine has exceeded the off-card electrical bandwidth limit, forcing this machine to use all-optical off-card communication technology. The steady advance of Moore s Law, allowing more cores per processor chip, will eventually mandate the use of integrated silicon nanophotonics to breach the module electrical escape bandwidth barrier. Challenges remain for optical technologies, and these must be addressed to assure the success of future systems. The cost of optical technology must continue its rapid takedowns to be viable for exascale machines and other large-scale data communication uses. We have shown that the promise that optical technologies allow lower communication link power is true for longer communication links, but dubious for short (on-board or on-chip) links where clocking and serialization/deserialization circuitry consume half or more of the power. Therefore, to achieve the largest-possible bandwidth escape density from chips or modules will likely require cooling technology improvements and/or radical innovations to increase I/O power efficiencies. Acknowledgments The authors gratefully acknowledge the support of DARPA under contracts MDA , HR C-0074, and HR C The views, opinions, and/or findings contained in this article are those of the authors and should not be interpreted as representing the official views or policies, either expressed or implied, of DARPA or the Department of Defense. 11

14 References [1] R. Dennard et al., Design of ion-implanted MOSFET s with very small physical dimensions, IEEE J. Solid-State Circ. 9 (1974) 256. [2] G.E. Moore, Cramming More Components onto Integrated Circuits, Electronics Magazine (1965) pp. 4. [3] K. Olukotun, L. Hammond and J. Laudon, Chip Multiprocessor Architecture, Morgan and Claypool (2007). [4] D. Kam et al., Is 25 Gb/s On-board Signaling Viable?, IEEE Trans. Adv. Pack. 32 (2009) 328. [5] F.E. Doany et al., 160 Gb/s Bidirectional Polymer-Waveguide Board-Level Optical Interconnects Using CMOS-Based Transceivers, IEEE Trans. Adv. Pkg. 32 (2009) 345. [6] F.E. Doany et al., Terabit/s-class 24-channel bidirectional optical transceiver module based on TSV Si carrier for board-level interconnects, Proceedings of ECTC (2010) 58. [7] B.G. Lee, A. Biberman, P. Dong, M. Lipson and K. Bergman, All-Optical Comb Switch for Multiwavelength Message Routing in Silicon Photonic Networks, IEEE Photon. Technol. Lett. 20 (2008) 767. [8] P. Dong, S.F. Preble and M. Lipson, All-optical compact silicon comb switch, Opt. Express 15 (2007) [9] W.M.J. Green, M. J. Rooks, L. Sekaric and Y. A. Vlasov, Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator, Opt. Express 15 (2007) [10] Y. Vlasov, W.M.J. Green and F. Xia, High-throughput silicon nanophotonic deflection switch for on-chip optical networks, Natur. Photon. 2 (2008) 242. [11] J. Van Campenhout, W. Green, S. Assefa and Y.A. Vlasov, Low-power, 2 2 silicon electro-optic switch with 110-nm bandwidth for broadband reconfigurable optical networks, Opt. Express 17 (2009) [12] S. Assefa, F. Xia and Y.A. Vlasov, Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects, Nature 464 (2010) 80. [13] M. Horowitz, private communication. [14] S. Assefa et al., CMOS-Integrated 40GHz Germanium Waveguide Photodetector for On-chip Optical Interconnects, in Proceedings of OFC, paper OMR4,

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond Invited Paper Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 1 Gb/s and Beyond Petar Pepeljugoski *, Mark Ritter, Jeffrey A. Kash, Fuad Doany, Clint Schow, Young Kwark,

More information

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects 160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects Fuad Doany, Clint Schow, Jeff Kash C. Baks, D. Kuchta, L. Schares, & R. John IBM T. J. Watson Research Center doany@us.ibm.com

More information

A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC

A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC A. Rylyakov, C. Schow, F. Doany, B. Lee, C. Jahnes, Y. Kwark, C.Baks, D. Kuchta, J.

More information

Petar Pepeljugoski IBM T.J. Watson Research Center

Petar Pepeljugoski IBM T.J. Watson Research Center Comparison of Bandwidth Limits for On-Card Electrical and Optical Interconnects for 100 Gb/s and Beyond Petar Pepeljugoski IBM T.J. Watson Research Center Collaborators and Acknowledgements Fuad Doany,

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

New silicon photonics technology delivers faster data traffic in data centers

New silicon photonics technology delivers faster data traffic in data centers Edition May 2017 Silicon Photonics, Photonics New silicon photonics technology delivers faster data traffic in data centers New transceiver with 10x higher bandwidth than current transceivers. Today, the

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

Device Requirements for Optical Interconnects to Silicon Chips

Device Requirements for Optical Interconnects to Silicon Chips To be published in Proc. IEEE Special Issue on Silicon Photonics, 2009 Device Requirements for Optical Interconnects to Silicon Chips David A. B. Miller, Fellow, IEEE Abstract We examine the current performance

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chuck Tabbert and Charlie Kuznia Ultra Communications, Inc. 990 Park Center Drive, Suite H Vista, CA, USA, 92081 ctabbert@

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies

Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies NISHI Kenichi, URINO Yutaka, OHASHI Keishi Abstract Si nanophotonics controls light by employing a nano-scale structural

More information

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies Zukunftstechnologie Dünnglasbasierte elektrooptische Baugruppenträger Dr. Henning Schröder Fraunhofer IZM, Berlin, Germany Today/Overview Motivation: external roadmaps High Bandwidth and Channel Density

More information

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems Photo-Electronic Crossbar Switching Network for Multiprocessor Systems Atsushi Iwata, 1 Takeshi Doi, 1 Makoto Nagata, 1 Shin Yokoyama 2 and Masataka Hirose 1,2 1 Department of Physical Electronics Engineering

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Class Topics System and design issues

More information

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005 inemi OPTOELECTRONICS ROADMAP FOR 2004 0 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005 Outline Business Overview Traditional vs Jisso Packaging Levels Optoelectronics

More information

Overview and Roadmap for European projects in Optical Interconnects

Overview and Roadmap for European projects in Optical Interconnects Overview and Roadmap for European projects in Optical Interconnects Dptm. of Informatics, Aristotle Univ. of Thessaloniki, Greece http://phos-net.csd.auth.gr/ Why is an overview needed? To identify possible

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Nanophotonics for low latency optical integrated circuits

Nanophotonics for low latency optical integrated circuits Nanophotonics for low latency optical integrated circuits Akihiko Shinya NTT Basic Research Labs., Nanophotonics Center, NTT Corporation MPSoC 17, Annecy, France Outline Low latency optical circuit BDD

More information

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit Daisuke Shimura Kyoko Kotani Hiroyuki Takahashi Hideaki Okayama Hiroki Yaegashi Due to the proliferation of broadband services

More information

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product Myung-Jae Lee and Woo-Young Choi* Department of Electrical and Electronic Engineering,

More information

Silicon Photonics: an Industrial Perspective

Silicon Photonics: an Industrial Perspective Silicon Photonics: an Industrial Perspective Antonio Fincato Advanced Programs R&D, Cornaredo, Italy OUTLINE 2 Introduction Silicon Photonics Concept 300mm (12 ) Photonic Process Main Silicon Photonics

More information

OPTICAL I/O RESEARCH PROGRAM AT IMEC

OPTICAL I/O RESEARCH PROGRAM AT IMEC OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics

More information

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland 5th International Symposium for Optical Interconnect in Data Centres in ECOC, Gothenburg,

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland Silicon photonics with low loss and small polarization dependency Timo Aalto VTT Technical Research Centre of Finland EPIC workshop in Tokyo, 9 th November 2017 VTT Technical Research Center of Finland

More information

High-speed silicon-based microring modulators and electro-optical switches integrated with grating couplers

High-speed silicon-based microring modulators and electro-optical switches integrated with grating couplers Journal of Physics: Conference Series High-speed silicon-based microring modulators and electro-optical switches integrated with grating couplers To cite this article: Xi Xiao et al 2011 J. Phys.: Conf.

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide 4-Channel Optical Parallel Transceiver Using 3-D Polymer Waveguide 1 Description Fujitsu Component Limited, in cooperation with Fujitsu Laboratories Ltd., has developed a new bi-directional 4-channel optical

More information

A review on optical time division multiplexing (OTDM)

A review on optical time division multiplexing (OTDM) International Journal of Academic Research and Development ISSN: 2455-4197 Impact Factor: RJIF 5.22 www.academicsjournal.com Volume 3; Issue 1; January 2018; Page No. 520-524 A review on optical time division

More information

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany Silicon Photonics in Optical Communications Lars Zimmermann, IHP, Frankfurt (Oder), Germany Outline IHP who we are Silicon photonics Photonic-electronic integration IHP photonic technology Conclusions

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

The Past, Present, and Future of Silicon Photonics

The Past, Present, and Future of Silicon Photonics The Past, Present, and Future of Silicon Photonics Myung-Jae Lee High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering Yonsei University Outline Introduction A glance at history

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

- no emitters/amplifiers available. - complex process - no CMOS-compatible

- no emitters/amplifiers available. - complex process - no CMOS-compatible Advantages of photonic integrated circuits (PICs) in Microwave Photonics (MWP): compactness low-power consumption, stability flexibility possibility of aggregating optics and electronics functionalities

More information

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects OPTICS 201, March 18 th, Dresden, Germany Meisam Bahadori, Sébastien Rumley,and Keren Bergman Lightwave Research Lab,

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

Dynamic gain-tilt compensation using electronic variable optical attenuators and a thin film filter spectral tilt monitor

Dynamic gain-tilt compensation using electronic variable optical attenuators and a thin film filter spectral tilt monitor Dynamic gain-tilt compensation using electronic variable optical attenuators and a thin film filter spectral tilt monitor P. S. Chan, C. Y. Chow, and H. K. Tsang Department of Electronic Engineering, The

More information

DeviceRequirementsforOptical Interconnects to Silicon Chips

DeviceRequirementsforOptical Interconnects to Silicon Chips INVITED PAPER DeviceRequirementsforOptical Interconnects to Silicon Chips Optics may allow interconnects to continue to scale to match the processing ability of future electronic chips, though very-low-energy

More information

Scalable Electro-optical Assembly Techniques for Silicon Photonics

Scalable Electro-optical Assembly Techniques for Silicon Photonics Scalable Electro-optical Assembly Techniques for Silicon Photonics Bert Jan Offrein, Tymon Barwicz, Paul Fortier OIDA Workshop on Manufacturing Trends for Integrated Photonics Outline Broadband large channel

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Chief Architect at Samtec, Inc Outline Interconnect Solutions Mid-Board Optical Modules Silicon Photonics o Benefits o Challenges DragonFly

More information

Optically reconfigurable balanced dipole antenna

Optically reconfigurable balanced dipole antenna Loughborough University Institutional Repository Optically reconfigurable balanced dipole antenna This item was submitted to Loughborough University's Institutional Repository by the/an author. Citation:

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information

Presentation Overview

Presentation Overview Low-cost WDM Transceiver Technology for 10-Gigabit Ethernet and Beyond Brian E. Lemoff, Lisa A. Buckman, Andrew J. Schmit, and David W. Dolfi Agilent Laboratories Hot Interconnects 2000 Stanford, CA August

More information

Instruction manual and data sheet ipca h

Instruction manual and data sheet ipca h 1/15 instruction manual ipca-21-05-1000-800-h Instruction manual and data sheet ipca-21-05-1000-800-h Broad area interdigital photoconductive THz antenna with microlens array and hyperhemispherical silicon

More information

Lecture 4 INTEGRATED PHOTONICS

Lecture 4 INTEGRATED PHOTONICS Lecture 4 INTEGRATED PHOTONICS What is photonics? Photonic applications use the photon in the same way that electronic applications use the electron. Devices that run on light have a number of advantages

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

UNIT - 7 WDM CONCEPTS AND COMPONENTS

UNIT - 7 WDM CONCEPTS AND COMPONENTS UNIT - 7 LECTURE-1 WDM CONCEPTS AND COMPONENTS WDM concepts, overview of WDM operation principles, WDM standards, Mach-Zehender interferometer, multiplexer, Isolators and circulators, direct thin film

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of

Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of Delaware 1 OUTLINE 1. Technology a. Physical rack limitations

More information

Optical Fibers p. 1 Basic Concepts p. 1 Step-Index Fibers p. 2 Graded-Index Fibers p. 4 Design and Fabrication p. 6 Silica Fibers p.

Optical Fibers p. 1 Basic Concepts p. 1 Step-Index Fibers p. 2 Graded-Index Fibers p. 4 Design and Fabrication p. 6 Silica Fibers p. Preface p. xiii Optical Fibers p. 1 Basic Concepts p. 1 Step-Index Fibers p. 2 Graded-Index Fibers p. 4 Design and Fabrication p. 6 Silica Fibers p. 6 Plastic Optical Fibers p. 9 Microstructure Optical

More information

Cisco PONC Pavan Voruganti Senior Product Manager. March 2015

Cisco PONC Pavan Voruganti Senior Product Manager. March 2015 Cisco PONC 2015 Pavan Voruganti Senior Product Manager March 2015 Bandwidth Explosion With a progressive uptake of video, IP, audio and cloud the compound annual growth rate (CAGR) of IP traffic is above

More information

Optical Networks and Transceivers. OPTI 500A, Lecture 2, Fall 2012

Optical Networks and Transceivers. OPTI 500A, Lecture 2, Fall 2012 Optical Networks and Transceivers OPTI 500A, Lecture 2, Fall 2012 1 The Simplest Network Topology Network Node Network Node Transmission Link 2 Bus Topology Very easy to add a device to the bus Common

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7 13.7 A 10Gb/s Photonic Modulator and WDM MUX/DEMUX Integrated with Electronics in 0.13µm SOI CMOS Andrew Huang, Cary Gunn, Guo-Liang Li, Yi Liang, Sina Mirsaidi, Adithyaram Narasimha, Thierry Pinguet Luxtera,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

Silicon-On-Insulator based guided wave optical clock distribution

Silicon-On-Insulator based guided wave optical clock distribution Silicon-On-Insulator based guided wave optical clock distribution K. E. Moselund, P. Dainesi, and A. M. Ionescu Electronics Laboratory Swiss Federal Institute of Technology People and funding EPFL Project

More information

Introduction and concepts Types of devices

Introduction and concepts Types of devices ECE 6323 Introduction and concepts Types of devices Passive splitters, combiners, couplers Wavelength-based devices for DWDM Modulator/demodulator (amplitude and phase), compensator (dispersion) Others:

More information

IST IP NOBEL "Next generation Optical network for Broadband European Leadership"

IST IP NOBEL Next generation Optical network for Broadband European Leadership DBR Tunable Lasers A variation of the DFB laser is the distributed Bragg reflector (DBR) laser. It operates in a similar manner except that the grating, instead of being etched into the gain medium, is

More information

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects Indian Journal of Pure & Applied Physics Vol. 55, May 2017, pp. 363-367 Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects Priyanka Goyal* & Gurjit Kaur

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Revolutionary Communications

Revolutionary Communications Revolutionary Communications Will Stewart Chief Scientist, Marconi Communications Traffic Forecast (1996)-2005 Terabytes/Day 14000 12000 10000 8000 6000 4000 2000 0 CAGR 1996-2005 Internet 95.8% Voice

More information

Silicon photonics integration roadmap for applications in computing systems

Silicon photonics integration roadmap for applications in computing systems Silicon photonics integration roadmap for applications in computing systems Bert Jan Offrein Neuromorphic Devices and Systems Group 2016 IBM Corporation Outline Photonics and computing? The interconnect

More information

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi Optical Amplifiers Continued EDFA Multi Stage Designs 1st Active Stage Co-pumped 2nd Active Stage Counter-pumped Input Signal Er 3+ Doped Fiber Er 3+ Doped Fiber Output Signal Optical Isolator Optical

More information

Polymer Interconnects for Datacom and Sensing. Department of Engineering, University of Cambridge

Polymer Interconnects for Datacom and Sensing. Department of Engineering, University of Cambridge Polymer Interconnects for Datacom and Sensing Richard Penty, Ian White, Nikos Bamiedakis, Ying Hao, Fendi Hashim Department of Engineering, University of Cambridge Outline Introduction and Motivation Material

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

WHITE PAPER. Spearheading the Evolution of Lightwave Transmission Systems

WHITE PAPER. Spearheading the Evolution of Lightwave Transmission Systems Spearheading the Evolution of Lightwave Transmission Systems Spearheading the Evolution of Lightwave Transmission Systems Although the lightwave links envisioned as early as the 80s had ushered in coherent

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography Günay Yurtsever *,a, Pieter Dumon a, Wim Bogaerts a, Roel Baets a a Ghent University IMEC, Photonics

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

UNIT - 7 WDM CONCEPTS AND COMPONENTS

UNIT - 7 WDM CONCEPTS AND COMPONENTS UNIT - 7 WDM CONCEPTS AND COMPONENTS WDM concepts, overview of WDM operation principles, WDM standards, Mach-Zehender interferometer, multiplexer, Isolators and circulators, direct thin film filters, active

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

High-Performance Electrical Signaling

High-Performance Electrical Signaling High-Performance Electrical Signaling William J. Dally 1, Ming-Ju Edward Lee 1, Fu-Tai An 1, John Poulton 2, and Steve Tell 2 Abstract This paper reviews the technology of high-performance electrical signaling

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss An Example Design using the Analog Photonics Component Library 3/21/2017 Benjamin Moss Component Library Elements Passive Library Elements: Component Current specs 1 Edge Couplers (Si)

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Faster than a Speeding Bullet

Faster than a Speeding Bullet BEYOND DESIGN Faster than a Speeding Bullet by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA In a previous Beyond Design column, Transmission Lines, I mentioned that a transmission line does not carry

More information

Hetero Silicon Photonics: Components, systems, packaging and beyond

Hetero Silicon Photonics: Components, systems, packaging and beyond Silicon Photonics Hetero Silicon Photonics: Components, systems, packaging and beyond Thursday, October 9, 2014 Tolga Tekin and Rifat Kisacik Photonic & Plasmonic Systems, Fraunhofer for Reliability and

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Heinrich-Hertz-Institut Berlin

Heinrich-Hertz-Institut Berlin NOVEMBER 24-26, ECOLE POLYTECHNIQUE, PALAISEAU OPTICAL COUPLING OF SOI WAVEGUIDES AND III-V PHOTODETECTORS Ludwig Moerl Heinrich-Hertz-Institut Berlin Photonic Components Dept. Institute for Telecommunications,,

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

An Introduction to High-Frequency Circuits and Systems

An Introduction to High-Frequency Circuits and Systems An Introduction to High-Frequency Circuits and Systems 1 Outline The electromagnetic spectrum Review of market and technology trends Semiconductors industry Computers industry - signal integrity issues

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information