Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1

Size: px
Start display at page:

Download "Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1"

Transcription

1 ECE260B CSE241A Winter 2005 Power Distribution Website: / courses/ ece260bw05 ECE 260B CSE 241A Power Distribution 1

2 Motivation Power supply noise is a serious issue in DSM design Noise is getting worse as technology scales Noise margin decreases as supply voltage scales Power supply noise may slow down circuit performance Power supply noise may cause logic failures ECE 260B CSE 241A Power Distribution 2

3 Power = Routing resources Pins 20-40% of all metal tracks used by Vcc, Vss Increased power denser power grid Vcc or Vss pin carries 0.5-1W of power Pentium 4 uses 423 pins; 223 Vcc or Vss More pins package more expensive (+ package development, motherboard redesign, ) Battery cost 1kg NiCad battery powers a Pentium 4 alone for less than 1 hour Vcc Vss Vcc Vss Vcc Performance High chip temperatures degrade circuit performance Large across-chip temperature variations induce clock skew High chip power limits use of high-performance circuits Power transients determine minimum power supply voltage ECE 260B CSE 241A Power Distribution 3

4 Power = Package Pentium 4 die is about 1.5g and less than 1cm^3 Pentium-4 in package with interposer, heat sink, and fan can be 500g and 150cm^3 Fan Heat Sink Integrated Heat Spreader Decoupling Capacitors Interposer Processor Processor Pins OLGA Pins Package Pins Modern processor packaging is complex and adds significantly to product cost. ECE 260B CSE 241A Power Distribution 4 Courtesy M. McDermott UT-Austin

5 Planning for Power Early simulation of major power dissipation components Early quantification of chip power - Total chip power - Maximum power density - Total chip power fluctuations inherent & added fluctuations due to clock gating Early power distribution analysis (dc, ac, & multi-cycle) I.e., average, maximum, multi-cycle fluctuations Early allocation & coordination of chip resources - Wiring tracks for power grid - Low Vt devices - Dynamic circuits - Clock gating - Placement and quantity of added decoupling capacitors ECE 260B CSE 241A Power Distribution 5

6 Power and Ground Routing Floorplanning includes planning how the power, ground and clock should route Power supply distribution Tree: trunk must supply current to all branches Resistance must be very small since when a gate switches, its current flows through the supply lines - If the resistance of supply lines is too large, voltage supplied to gates will drop, which can cause the gate to malfunction - Usually, want at most 5-10% IR drop due to supply resistance Usually on the top layers of metal, then distributed to lower wiring layers ECE 260B CSE 241A Power Distribution 6

7 Planar Power Distribution Topology of V DD /V SS networks. Inter-digitated Design each macrocell such that all V DD and V SS terminals are on opposite sides. If floorplan places all macrocells with V DD on same side, then no crossing between V DD and V SS. V DD V DD V DD cell cut line V SS V SS V SS B V DD no cut line V SS V DD A V DD VSS V SS C V DD cut line V DD V SS no connection V SS ECE 260B CSE 241A Power Distribution 7 Courtesy K. Yang, UCLA

8 Gridded Power Distribution With more metal layers, power is striped Connection between the stripes allows a power grid - Minimizes series resistance Connection of lower layer layout/cells to the grid is through vias - Note that planar supply routing is often still needed for a strong lower layer connection. - There may not be sufficient area to make a strong connection in the middle of a design (connect better at periphery of die) ECE 260B CSE 241A Power Distribution 8 Courtesy K. Yang, UCLA

9 Power Supply Drop/ Noise Supply noise = variations in power supply voltage that act as noise source for logic gates Power supply wiring resistance voltage variations with current surges Current surges depend on dynamic behavior of circuit Solution approach Measure maximum current required by each block Redesign power/ground network to reduce resistance Worst case: move activity to another clock cycle to reduce peak current scheduling problem Example: Drive 32-bit bus, total bus wire load = 2pF, with delay 0.5ns R for each transistor needs to be < 0.25kΩ to meet RC = 0.5ns Effective R of bits together is 250/32 = 7.5Ω For < 10% drop, power distribution R must be < 1Ω ECE 260B CSE 241A Power Distribution 9 Courtesy K. Yang, UCLA

10 Electromigration Physical migration of metal atoms due to electron wind can eventually create a break in a wire MTTF (mean time to failure) 1/J 2 where J= current density Current density must not exceed specification wire I i /w i < J spec Specified as ma per µm wire width (e.g., 1mA/ µm) or ma per via cut EM occurs both in signal (AC=bidirectional) and power wires (DC = unidirectional) Much worse for DC than AC; DC occurs inside cells and in power buses May need more contacts on transistor sources and drains to meet EM limits Width of power buses must support both ir and EM requirements Issues in IR and EM constraint generation Topology is most likely not a tree How do we determine current patterns? ECE 260B CSE 241A Power Distribution 10 Effects of R, L

11 What Happens? Example of an AlCu line seen under microscope. Accelerated by higher temperature and high currents Voids form on grain boundaries Metal atoms move with current away from voids and collect at boundaries Catastrophic failure ECE 260B CSE 241A Power Distribution 11 Courtesy K. Yang, UCLA

12 Taken from Taken from Sverre Sjøthun, Electromigration In-Depth, from ECE 260B CSE 241A Power Distribution 12 Courtesy S. Sapatnekar, UMinn

13 Power Supply Rules of Thumb Rules depend on technology Tech file has rules for resistance and electromigration Examples: Must have a contact for each 16λ of transistor width (more is better) Wire must have less than 1mA/µm of width Power/Gnd width = Length of wire * Sum (all transistors connected to wire) / 3*10 6 λ (very approximate) For small designs, power supply design is non-issue ECE 260B CSE 241A Power Distribution 13 Courtesy K. Yang, UCLA

14 Basic Methodology Concepts Reliability (slotting, splitting) Alignment of hierarchical rings, stripes Isolation of analog power Styles of power distribution Rings and trunks Uniform grid Bottom-up grid generation Depends on: - Package: flip-chip vs. wire-bond; I/O count (fewer pads denser grid) - Power budget - IR drop limits - Floorplan constraints (hard macros, etc.) ECE 260B CSE 241A Power Distribution 14

15 Metal Slotting vs. Splitting Required by metal layout rules for uniform CMP (planarization) Split power wires Less data than traditional slotting More accurate R/C analysis of power mesh Not supported by all tools M1 Easy connections through standard via arrays M1 GND GND GND GND Difficult to connect - where should vias go? ECE 260B CSE 241A Power Distribution 15 Courtesy Cadence Design Systems, Inc.

16 Trunks and Rings Methodology Each Block has its own ring Rings may be inside the blocks or part of the top level Each Block has trunks connecting top level to block Rings may be shared with abutted blocks V G V G V G V block 5 block 4 G block 1 block 3 V block 2 G V G V Individual trunks connecting blocks to top level G V G V G V ECE 260B CSE 241A Power Distribution 16 Courtesy Cadence Design Systems, Inc.

17 Trunks and Rings Advantages Power tailored to the demands of each block (flexible) More area efficient since the demands of each block are uniquely met Simple implementation supported by many tools Rings can be shared between blocks by abutted blocks Disadvantages Limited redundancy, power grid built to match needs Assumptions in design may change or be invalid Non regular structure requires more detailed IR drop/em analysis missing vias/connections fatal Rings will require slotting/splitting due to wide widths Increase in data volume ECE 260B CSE 241A Power Distribution 17 Courtesy Cadence Design Systems, Inc.

18 Uniform Chip Grid Methodology Robust and redundant power network mainly in microprocessors and high end large ASICs Implementation Primary distribution through upper metal layers - Lower layers in blocks to connect to top through via stacks Typically pushed into blocks Blocks typically abut - Requires block grids to align Rows/Followpins should align with block pins - Global buffer insertion global grid higher layers V G V G V G G V Fine or custom grid or no grid on lower layers G V block 5 block 4 block 4 block 1 block 3 V G V G V G V G V ECE 260B CSE 241A Power Distribution 18 Courtesy Cadence Design Systems, Inc.

19 Uniform Chip Grid Advantages Easily implemented Lends itself to straightforward hand calculations Path redundancy allows less sensitively to changes in current pattern Mesh of power/ground provides shielding (for capacitance) and current returns (for inductance) Top-down propagation easy to use on this style Disadvantages Takes up significant routing resources (20%-40% of all routing tracks if not already reserved for power/ground) Fine grids may slow down P&R tools Imposes grid structure into each block which may be unnecessary Top and blocks coupled closely if top level routing pushed into blocks Changes to block/top must be reflected in other ECE 260B CSE 241A Power Distribution 19 Courtesy Cadence Design Systems, Inc.

20 Bottom-Up Grid Generation Methodology Design and optimize power grid for block, merge at top Advantages Able to tailor grid for routing resource efficiency in each block Flexibility to choose the best grid for the block (i.e. ring and stripe, power plane, grid) Disadvantages Designing grid in context of the big picture is more difficult Block grid may present challenging connections to top level Assumptions for block grid s connection to top level must be analyzed and validated ECE 260B CSE 241A Power Distribution 20 Courtesy Cadence Design Systems, Inc.

21 Power Routing in Area-Based P&R Power routing approaches (1) Pre-route parts of power grid during floorplanning (2) Build grid (except connections to standard cells) before P&R (3) Build entire grid before P&R N.B.: Area-based P&R tools respect pre-routes absolutely Cadence tools: power routing done inside SE, all other tasks (clock, place, route, scan, ) done by point tools Lab 5 tomorrow has a tiny bit of power routing (rings, stripes) Miscellany ECOs: What happens to rings and trunks if blocks change size? Layer choices: What is cost of skipping layers (to get from thick top-layer metal down to finer layers)? How wide should power wires be? Post-processing strategies ECE 260B CSE 241A Power Distribution 21 Courtesy Cadence Design Systems, Inc.

22 Power Routing Wire Width Considerations Slotting rules: Choose maximum width below slotting width Halation (width-dependent spacing) rules: Do as much as possible of power routing below wide wire width to save routing space Choose power routing widths carefully to avoid blocking extra tracks (and, use the space if blocking the track!) What is better power width here? Blocked tracks ECE 260B CSE 241A Power Distribution 22 Courtesy Cadence Design Systems, Inc.

23 Power Routing Tool Usage 4 layer power grid example (HVHV) Turn on via stacking Route metal2 vertically Route metal4 vertically (use same coordinates) Route metal3 horizontally (make coincident with every N metal1 routes) Turn off via stacking Route metal1 horizontally metal2/metal4 coincident metal1 inside cells metal3 every n micron ECE 260B CSE 241A Power Distribution 23 Courtesy Cadence Design Systems, Inc.

24 Post-Processing Flows (DEF or Layout Editing) During PnR After post processing ECE 260B CSE 241A Power Distribution 24 Courtesy Cadence Design Systems, Inc.

25 (Tree) Supply Network Design Tree topology assumption not very useful in practice, but illustrates some basic ideas Assume R dominates, L and C negligible marginally permissible assumption Current drawn at various points in the tree (time-varying waveform) Current causes a V=IR drop Ground is not at 0V Vdd is not at intended level Supply ECE 260B CSE 241A Power Distribution 25 Courtesy S. Sapatnekar, UMinn = sinks

26 IR Drop Constraints Chowdhury and Breuer, TCAD 7/88 Can write V drop to each sink as Supply Σ R i I i < V spec for all sink current patterns made available Tree structure: can compute I i easily R i α ρ l i / w i Change w i to reduce IR drop Objective: minimize Σ a i w i Current density must never exceed a specification For each wire, I i /w i < J spec ECE 260B CSE 241A Power Distribution 26 Courtesy S. Sapatnekar, UMinn

27 P/G Mesh Optimization (R only) Dutta and Marek-Sadowska, DAC 89 2 Cost function: Σ a i l i w i = Σ a i c i l i // = total wire area (since c i = conductance = w i /(ρ l i ) Constraints - EM: I i κ e w i // current density I/w less than upper bound Substitute I i = v i (w i / ρ l i ) // I = V/R v p - v q κ e ρ l i // divide by w i, * ρ l i - Wire width constraints: W min w i W max (translate to c i ) - Voltage drop constraints: v a - v b V spec1 and/or v i V spec2 - Circuit equations that determine the v s ECE 260B CSE 241A Power Distribution 27 Courtesy S. Sapatnekar, UMinn

28 Solution Technique Method of feasible directions Find an initial feasible solution (satisfies all constraints) Choose a direction that maintains feasibility Make a move in that direction to reduce cost function Given a set of c i s, must find corresponding v i s Feasible direction method: move from point c* to c + c* and c + must be close to each other (i.e., if you have the solution at c*, the solution at c + corresponds to a minor change in conductances) Solving for v i s : solving a system of linear equations - Solution at c* is a good guess for the solution at c + - Converges in a few iterations ECE 260B CSE 241A Power Distribution 28 Courtesy S. Sapatnekar, UMinn

29 Modeling Gate Currents Currents in supply grid caused by charging/discharging of capacitances by logic gates All analyses require generation of a worst-case switching scenario Enumeration is infeasible Two basic approaches Simulation based methods: designer supplies hot vectors, or we try to generate these hot vectors automatically Pattern-independent methods: try to estimate the worst-case (can be expensive, very inaccurate) Once current patterns are available, apply them to supply network to find out if constraints are satisfied ECE 260B CSE 241A Power Distribution 29 Courtesy S. Sapatnekar, UMinn

30 Complexity of Hot Vector Generation Devadas et al., TCAD 3/92: Assume zero gate delays for simplicity Find the maximum current drawn by a block of gates Using a current model for each gate - Find a set of input patterns so that the total current is maximized - Boolean assignment problem: equivalent to Weighted Max- Satisfiability Given a Boolean formula in conjunctive normal form (product of sums), is there an assignment of truth values to the variables such that the formula evaluates to True? - Checking for Satisfiability (for k-sat, k > 2) is NP-complete Difficult even under zero gate delay assumption ECE 260B CSE 241A Power Distribution 30 Courtesy S. Sapatnekar, UMinn

31 Pattern-Independent Methods imax approach: Kriplani et al., TCAD 8/95 Current model for a single gate I peak Delay Gates switch at different times Total current drawn from V dd (ignoring supply network C) is the sum of these time-shifted waveforms Objective: find the worst-case waveform ECE 260B CSE 241A Power Distribution 31 Courtesy S. Sapatnekar, UMinn

32 Example (Not to scale!) Maximum current not just a sum of individual maximum currents Temporal dependencies [Using deliberate clock skews can reduce the peak current, as we saw in the Useful-Skew discussion] ECE 260B CSE 241A Power Distribution 32 Courtesy S. Sapatnekar, UMinn

33 Maximum Envelope Current (MEC) Find the time interval during which a gate may switch Manufacturing process variations can cause changes Actual switching event can cause changes (unit gate delays) Switching at second gate can occur at t=1 or at t=2 In general, a large number of paths can go through a gate; assume (conservatively) that switching occurs in t [1,2] Assume that all gate inputs can switch independently provides an upper bound on the switching current ECE 260B CSE 241A Power Distribution 33 Courtesy S. Sapatnekar, UMinn

34 (Large) Errors in MEC Approach Correlation Problem Switching at G0, G1, G2 and G3 not independent G0 = 0 implies that G1, G2, G3 switch; G0 = 1 means that other inputs will determine gate activity If the other inputs cannot make the gate switch in the same time window, then imax estimates are pessimistic G0 G1 G2 G3 Reconvergent Fanout Problem Signals that diverge at G0 reconverge at Gk inputs to Gk are not independent G1 Assumption of independent switching is not valid G0 G2 Gk Many heuristic refinements proposed, but guardbanding (error) of power estimation still a huge issue ECE 260B CSE 241A Power Distribution 34 Courtesy S. Sapatnekar, UMinn G3

35 Outline Motivation Power Supply Noise Estimation Decoupling Capacitance (decap) Budget Allocation of Decoupling Capacitance Experiment Results Conclusion ECE 260B CSE 241A Power Distribution 35

36 Why Decoupling Capacitance Frequency point of view Decaps form low-pass filters They cancel anti- effects Physical point of view Decaps serve as charge reservoirs They shortcut supply current paths and reduces voltage drop No effect to DC supply currents ECE 260B CSE 241A Power Distribution 36

37 Power Supply Network RLC Mesh :Current Source : VDD pin Lp VDD Rp VDD VDD VDD ECE 260B CSE 241A Power Distribution 37 Slide courtesy of S Zhao, K Roy & C.-K. Kok

38 Current Distribution in Power Supply Mesh Illustration :Connection point, VDD (1) :VDD pin Current contribution (3) Current flowing path (5) VDD (2) (6) Module A B C ECE 260B CSE 241A Power Distribution 38 Slide courtesy of S Zhao, K Roy & C.-K. Kok

39 Current Distribution in Power Supply Network Distribute switching current for each module in the power supply mesh Observation: Currents tend to flow along the leastimpedance paths Approximation: Consider only those paths with minimal impedance --shortest, second shortest, I 1 I 2 I n =I Z 1 I 1 =Z 2 I 2 = =Z n I n I j = Y j n i =1 Y i I, j=1,2, n ECE 260B CSE 241A Power Distribution 39 Slide courtesy of S Zhao, K Roy & C.-K. Kok

40 Current Flowing Paths and Power Supply Noise Calculation Power supply noise at a target module is the voltage difference between the VDD pin and the module Apply KVL: i3(t) VDD R1 L1 C1 i1(t) R2 L2 C2 k i 2(t) V k di noise = i j R P L j P P j T k jk j k dt ECE 260B CSE 241A Power Distribution 40 Slide courtesy of S Zhao, K Roy & C.-K. Kok

41 Why Decoupling Capacitance? i3(t) VDD R1 L1 C1 R2 L2 k i1(t) C2 i 2(t) P/G network wiresizing won t change voltage drop frequency spectrum To reduce Vdrop by k times needs to size up wires by k times along the supply current path Decoupling caps act as a low-pass filter Efficient to remove high frequency elements of Vdrop ECE 260B CSE 241A Power Distribution 41

42 Decoupling Capacitance Budget Decap budget for each module can be determined based on its noise level Initial budget can be estimated as follows: Ch arg e : Q k = 0 I k t dt k Noise ratio : =max 1, V noise V lim noise Decap : lim C k = 1 1 Q k /V noise, k =1,2, M Iterations are performed if necessary until noise at each module in the floorplan is kept under certain limit ECE 260B CSE 241A Power Distribution 42 Slide courtesy of S Zhao, K Roy & C.-K. Kok

43 Allocation of Decoupling Capacitance Decap needs to be placed in the vicinity of each target module Decap requires WS to manufacture on Use MOS capacitors Decap allocation is reduced to WS allocation Two-phase approach: Allocate the existing WS in the floorplan Insert additional WS into the floorplan if required ECE 260B CSE 241A Power Distribution 43 Slide courtesy of S Zhao, K Roy & C.-K. Kok

44 Allocation of Existing White Space A w2 WS B D w1 C E w3 ECE 260B CSE 241A Power Distribution 44 Slide courtesy of S Zhao, K Roy & C.-K. Kok

45 Allocation of Existing WS--Linear Programming (LP) Approach Objective: Maximize the utilization of available WS Existing WS can be allocated to neighboring modules using LP Notation: S : sum of allocated WS S k : area of WS k S j : decap budget of mod j x k j : ws allocated to mod j from WS k N k : neighbors set of WS k LP Approach: H max imize S = k =1 s.t. k = H k =1 j x k S k, j N k x k j 0, x k j S j, j x k, j N k j, k k =1,2,, H j=1,2,, M ECE 260B CSE 241A Power Distribution 45 Slide courtesy of S Zhao, K Roy & C.-K. Kok

46 Insert Additional WS into Floorplan If Necessary Update decap budget for each module after existing WS has been allocated If additional WS if required, insert WS into floorplan by extending it horizontally and vertically Two-phase procedure: insert WS band between rows based the decap budgets of the modules in the row insert WS band between columns based on the decap budgets of the modules in the column ECE 260B CSE 241A Power Distribution 46 Slide courtesy of S Zhao, K Roy & C.-K. Kok

47 Moving Modules to Insert WS Original floorplan 0 A C 2 B D ExtY Moving modules in y+ direction A C B D WS band E G F E F G (a) (b) ECE 260B CSE 241A Power Distribution 47 Slide courtesy of S Zhao, K Roy & C.-K. Kok

48 Experimental Results Comparison of Decap Budgets (Ours vs Greedy Solution ) Circuit decap budget (nf) (our method) decap budget (nf) ( greedy solution ) Percentage (%) apte xerox hp ami ami playout ECE 260B CSE 241A Power Distribution 48

49 Experimental Results for MCNC Benchmark Circuits Circuit Modules Existing WS (µm 2 ) (%) apte (1.6) xerox (5.5) hp (7.8) ami33 ami (21.3) (7.0) playout (6.6) decap Budget (nf) Inacc. WS (µm 2 ) (%) Added WS (µm 2 ) (%) (0) (10.3) (0) (2.7) (3.5) (3.4) Est. Peak Noise (V) before N/A (2.5) (0.9) (1.3) (4.0) Est. Peak Noise (V) after ECE 260B CSE 241A Power Distribution 49

50 Floorplan of playout Before/ After WS Insertion ECE 260B CSE 241A Power Distribution 50

51 Conclusion A methodology for decoupling capacitance allocation at floorplan level is proposed Linear programming technique is used to allocate existing WS to maximize its utilization A heuristic is proposed for additional WS insertion Compared with Greedy solution, our method produces significantly smaller decap budgets ECE 260B CSE 241A Power Distribution 51

52 ECE 260B CSE 241A Power Distribution 52

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1 EE-382M-8 VLSI II Early Design Planning: Back End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 Backend EDP Flow The project activities will include: Determining the standard cell and custom library

More information

Decoupling Capacitance Allocation for Power Supply Noise Suppression

Decoupling Capacitance Allocation for Power Supply Noise Suppression Decoupling Capacitance Allocation for Power Supply Noise Suppression Shiyou Zhao, Kaushi Roy, Cheng-Ko Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN 47907-1285

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors Design and Analysis of Power Distribution Networks in PowerPC Microprocessors Abhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan Advanced Tools Group, Advanced System Technologies

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design)

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design) Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design) Dr. Yingtao Jiang Department of Electrical and Computer Engineering University of Nevada Las

More information

Lecture 17. Low Power Circuits and Power Delivery

Lecture 17. Low Power Circuits and Power Delivery Lecture 17 Low Power Circuits and Power Delivery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 Ron Ho and Mark Horowitz w/ slides used from David Ayers 1 Power Delivery

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Efficient Decoupling Capacitor Planning via Convex Programming Methods

Efficient Decoupling Capacitor Planning via Convex Programming Methods Efficient Decoupling Capacitor Planning via Convex Programming Methods Andrew B. Kahng UC San Diego La Jolla, CA 92093 abk@ucsd.edu Bao Liu UC San Diego La Jolla, CA 92093 bliu@cs.ucsd.edu Sheldon X.-D.

More information

NJM3777 DUAL STEPPER MOTOR DRIVER NJM3777E3(SOP24)

NJM3777 DUAL STEPPER MOTOR DRIVER NJM3777E3(SOP24) DUAL STEPPER MOTOR DRIER GENERAL DESCRIPTION The NJM3777 is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. The NJM3777 is equipped

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM June th 2008 Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM Krishna Bharath, Ege Engin and Madhavan Swaminathan School of Electrical and Computer Engineering

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Interconnect Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction Chips are mostly made of wires called

More information

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References 6. Switching noise avoidance 7. Qualitative guidelines for onchip Power Distribution Network design 8. References Switching noise avoidance: design Packages: Inductance dominates at high frequency Package

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

A Static Pattern-Independent Technique for Power Grid Voltage Integrity Verification

A Static Pattern-Independent Technique for Power Grid Voltage Integrity Verification A Static Pattern-Independent Technique for Power Grid Voltage Integrity Verification 8.2 Dionysios Kouroussis Department of ECE University of Toronto Toronto, Ontario, Canada diony@eecg.utoronto.ca Farid

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

How to Design an R g Resistor for a Vishay Trench PT IGBT

How to Design an R g Resistor for a Vishay Trench PT IGBT VISHAY SEMICONDUCTORS www.vishay.com Rectifiers By Carmelo Sanfilippo and Filippo Crudelini INTRODUCTION In low-switching-frequency applications like DC/AC stages for TIG welding equipment, the slow leg

More information

Energy Efficient Circuit Design and the Future of Power Delivery

Energy Efficient Circuit Design and the Future of Power Delivery Energy Efficient Circuit Design and the Future of Power Delivery Greg Taylor EPEPS 2009 Outline Looking back Energy efficiency in CMOS Side effects Suggestions Conclusion 2 Looking Back Microprocessor

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

NJM37717 STEPPER MOTOR DRIVER

NJM37717 STEPPER MOTOR DRIVER STEPPER MOTOR DRIVER GENERAL DESCRIPTION PACKAGE OUTLINE NJM37717 is a stepper motor diver, which consists of a LS-TTL compatible logic input stage, a current sensor, a monostable multivibrator and a high

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns James Kao, Siva Narendra, Anantha Chandrakasan Department of Electrical Engineering and Computer Science Massachusetts Institute

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Repeater Block Planning under Simultaneous Delay and Transition Time Constraints Λ

Repeater Block Planning under Simultaneous Delay and Transition Time Constraints Λ Repeater Block Planning under Simultaneous Delay and Transition Time Constraints Λ Probir Sarkar Conexant Systems Newport Beach, CA 92660 probir.sarkar@conexant.com Cheng-Kok Koh ECE, Purdue University

More information

On the Interaction of Power Distribution Network with Substrate

On the Interaction of Power Distribution Network with Substrate On the Interaction of Power Distribution Network with Rajendran Panda, Savithri Sundareswaran, David Blaauw Rajendran.Panda@motorola.com, Savithri_Sundareswaran-A12801@email.mot.com, David.Blaauw@motorola.com

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

ECE 546 Lecture 20 Power Distribution Networks

ECE 546 Lecture 20 Power Distribution Networks ECE 546 Lecture 20 Power Distribution Networks Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 IC on Package ECE 546

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT ASICs...THE COURSE (1 WEEK) PROGRAMMABLE ASIC INTERCONNECT 7 Key concepts: programmable interconnect raw materials: aluminum-based metallization and a line capacitance of 0.2pFcm 1 7.1 Actel ACT Actel

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

MIC4414/4415. General Description. Features. Applications. Typical Application. 1.5A, 4.5V to 18V, Low-Side MOSFET Driver

MIC4414/4415. General Description. Features. Applications. Typical Application. 1.5A, 4.5V to 18V, Low-Side MOSFET Driver MIC4414/4415 1.5A, 4.5V to 18V, Low-Side MOSFET Driver General Description The MIC4414 and MIC4415 are low-side MOSFET drivers designed to switch an N-channel enhancement type MOSFET in low-side switch

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design The Need for a Design Style by A. Steininger Vienna University of Technology Outline Skew versus consistency The need for a design style Hazards, Glitches & Runts Lecture "Advanced

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

ICS2510C. 3.3V Phase-Lock Loop Clock Driver. Integrated Circuit Systems, Inc. General Description. Pin Configuration.

ICS2510C. 3.3V Phase-Lock Loop Clock Driver. Integrated Circuit Systems, Inc. General Description. Pin Configuration. Integrated Circuit Systems, Inc. ICS250C 3.3V Phase-Lock Loop Clock Driver General Description The ICS250C is a high performance, low skew, low jitter clock driver. It uses a phase lock loop (PLL) technology

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16 1 PCB DESIGN Dr. P. C. Pandey EE Dept, IIT Bombay Rev. Jan 16 2 Topics 1.General Considerations in Layout Design 2.Layout Design for Analog Circuits 3.Layout Design for Digital Circuits 4. Artwork Considerations

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information