ECE 546 Lecture 20 Power Distribution Networks

Size: px
Start display at page:

Download "ECE 546 Lecture 20 Power Distribution Networks"

Transcription

1 ECE 546 Lecture 20 Power Distribution Networks Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois ECE 546 Jose Schutt Aine 1

2 IC on Package ECE 546 Jose Schutt Aine 2

3 IC on Package ECE 546 Jose Schutt Aine 3

4 Power-Supply Noise - Power-supply-level fluctuations - Delta-I noise - Simultaneous switching noise (SSN) - Ground bounce VOH VOL Ideal Vout Actual Vout Time ECE 546 Jose Schutt Aine 4 4

5 Voltage Fluctuations Voltage fluctuations can cause the following Reduction in voltage across power supply terminals. May prevent devices from switching Increase in voltage across power supply terminalsreliability problems Leakage of the voltage fluctuation into transistors Timing errors, power supply noise, delta I noise, simultaneous switching noise (SSN) ECE 546 Jose Schutt Aine 5

6 Power-Supply-Level Fluctuations Total capacitive load associated with an IC increases as minimum feature size shrinks Average current needed to charge capacitance increases Rate of change of current (di/dt) also increases Total chip current may change by large amounts within short periods of time Fluctuation at the power supply level due to self inductance in distribution lines ECE 546 Jose Schutt Aine 6

7 Reducing Power-Supply-Level Fluctuations Minimize di/dt noise Decoupling capacitors Multiple power & ground pins Taylored driver turn-on characteristics Decoupling capacitors Large capacitor charges up during steady state Assumes role of power supply during current switching Leads should be small to minimize parasitic inductance Must be placed as close as possible to the chip ECE 546 Jose Schutt Aine 7

8 Power Supply Network Bus Backplane PC Board Package IC Interconnect Power Supply Load On board inductance and on-chip resistance Symmetry between power and ground (return path) Distributed over several levels of interconnections ECE 546 Jose Schutt Aine 8

9 Motivation and Objectives Provide stable, quiet DC supply voltage Compensate for large AC current draws Compensate for fast transients Current draws of 200A Rate of change of 200 GA/s Voltage supply needs to be maintained within 10% ECE 546 Jose Schutt Aine 9

10 Interconnects and PDN Gate A Output voltage from Gate A + V 1 - Low Frequency Wire B N GROUND CONNECTION Differential voltage at receiver V 1 - R + V 1 - Gate C R + - Internal reference generator Gate A Output voltage from Gate A + V 1 - High Frequency GROUND CONNECTION Wire B - N + Equivalent noise source in series with ground connection Differential voltage at receiver V 2 - N - R + V 2 - Gate C R + - Internal reference generator At high frequencies, Wire B is a transmission line and ground connection is no longer the reference voltage ECE 546 Jose Schutt Aine 10

11 Rules for Power Distribution Gate A Signal current flowing between gates X and Y Gate C Wire B Ground inductance - Gate X Signal current flowing between gates X and Y induce ground voltage N which interferes with reception at gate C - N + Gate Y Use low-impedance ground connections between gates Provide low-impedance path between power and ground Minimize voltage differences between power lines ECE 546 Jose Schutt Aine 11

12 Effects of SSN SSN can affect circuits in 3 ways 1) SSN may increase chip-to-chip delays 2) Affects the operation of the receiving chips 3) May affect gates on the sending chip Current driven off-chip has only one return path: power and ground pins of the chip carrier to minimize effective inductance of the return path and noise, many power/ground pins must be supplied for off-chip drivers On-chip circuitry can close the loop by small inductance on chip lines ECE 546 Jose Schutt Aine 12

13 Design Criteria for SSN Inductive time constant must be much smaller than its capacitive time constant L R RC Valid for external power distribution lines that carry the current to the chip and for internal lines that distribute it on the chip - Presently satisfied by on-chip lines - Board and package power lines are too high to satisfy criteria ECE 546 Jose Schutt Aine 13

14 Design Criteria for SSN Cannot distribute power on the board simply by using the printed circuit wires and connecting them to the power/ground pins of the chip directly. Off chip power distribution must employ methods that reduce the effects of line and pin inductance. To insure reliable circuit operation: di L VDD dt Equivalence of 2 conditions is obtained by setting: di V / R DD dt RC ECE 546 Jose Schutt Aine 14

15 Resonance Condition at Power-Supply Lines - Periodic nature of digital circuits can cause resonance - Large fluctuation can build up and cause circuit to fail f chip 2 L 1 mod C chip Lmod Z( j chip ) R C chip chip - f chip should be much larger than the clock frequency - Resonant impedance should be kept small ECE 546 Jose Schutt Aine 15

16 Delta-I Noise in CMOS Circuits In a CMOS chip the portion of the circuit that is not switching (R 1, C 1 ) at a given system cycle helps the switching portion of the chip (R 2, C 2 ). C 1 VDD V VDD C1 C2 ECE 546 Jose Schutt Aine 16

17 Delta-I Noise in ECL Bipolar Circuits Because of diode structure of BJTs, current can only flow in one direction V x DC current of gates (proportional to V x ) help reduce powersupply-level fluctuations R1 R1 V VDD For turn on V V for turn off DD R R R ECE 546 Jose Schutt Aine 17

18 Model for On-Chip Power Distribution 1) Portion of circuits switch 2) V dd -V SS is reduced 3) Non-switching devices come to rescue (through low inductance) 4) Share charge with switching capacitors 5) Power-level collapse is prevented ECE 546 Jose Schutt Aine 18

19 Model for CMOS Power Distribution Network - n decoupling capacitors -L con is due to power connectors at edge of board -C board is intrinsic power and ground capacitance ECE 546 Jose Schutt Aine 19

20 Off-Chip Driver SSN Calculations - Worst case on-chip delta-i noise generated at beginning of clock cycle - Main problem for on-chip drivers is lack of low-inductance return path - Off-chip drivers are the major source of SSN Problem: 32 low-impedance CMOS buffers (R S << Z o ) are switched simultaneously. In addition, the line impedance is 50, rise time is 2 nsec, output swing is 5 V, and the allowed power-supply-level fluctuation is 0.25V. Find the effective inductance. Solution: First, calculate the rate of change of the output voltage from the voltage swing and rise time dv 80% Vswing 80% 5V dt t 2nsec r 2 V / nsec ECE 546 Jose Schutt Aine 20

21 Off-Chip Driver SSN Calculations The current driven into the transmission line is I=V/Z o and its rate of change is: di 1 dv 2 V / nsec 0.04 A/ nsec dt Z dt 50 Total current transient for 32 drivers: di dt o TOT N drv Through a 1nH inductance the voltage drop is di 1.28 A/ nsec dt di V L 1.28V dt To guarantee a maximum of 0.25V voltage fluctuation, the effective inductance is L V di / dt 0.2nH

22 PDN Network A PDN in a system provides the interconnection framework in which gates are allowed to switch states Power supplies are bulky and cannot be connected directly to IC, therefore interconnections (with resistance and inductance) are used Current through wires create DC drop and voltage fluctuations PDN must be created to regulate voltage for required current to be supplied over time The speed at which a circuit operates determines the speed at which charge can be supplied or removed from capacitors ECE 546 Jose Schutt Aine 22

23 PDN Network A PDN consists of a power supply, DC DC converters, lots of decoupling capacitors and interconnections Power supply provides high voltage and current to motherboard Voltage is reduced through a DC DC converter Decoupling capacitors are distributed on the motherboard package and IC and act as charge reservoirs ECE 546 Jose Schutt Aine 23

24 General Topology for Power Distribution Hierarchy of distribution networks Usually a tree sometimes a loop Upper level inductive with distributed caps On-chip level resistive with distributed caps ECE 546 Jose Schutt Aine 24

25 Mechanism For an IC, the transient current flowing through an inductor gives a voltage drop V=LdI/dt Positive di/dt leads to reduction in supply voltage. Negative di/dt results into an increase in supply voltage reliability problems has several components Supply noise has several components Ultra high frequency noise ~ 100 GHz High frequency noise 100 MHz 1GHz Mid frequency noise 1 10 MHz Low frequency noise KHz ECE 546 Jose Schutt Aine 25

26 IC PDN Core: Primarily made of transistors I/O: Provides communication with other ICs Core and I/O require separate PDN Goal: ensure sufficient charge is supplied to switching CKT so capacitance can be charged to required voltage Charge has to be supplied within a short timeminimize delay need L/R<<RC ECE 546 Jose Schutt Aine 26

27 Local Regulation Used to prevent overshoot so voltage cannot exceed nominal value by more than a small amount. Supply overshoot can be reduced via clamping Supply voltage droops can be reduced using shunt regulators Clamps draw little power and are inexpensive Shunt regulators dissipate considerable average power and are expensive ECE 546 Jose Schutt Aine 27

28 Local Regulation Using Clamps I X 0 if VL Vn k ( V - V ) if V V s L n L n Clips off top half cycle by directing inductor current into clamp rather than capacitorprevents overshoot. Cannot prevent supply voltage drooping. k s : transconductance of clamp ECE 546 Jose Schutt Aine 28

29 Shunt Regulators I max 0, I I k ( V V ) X max 1 s L n k s : transconductance Keeps current constant Regulates voltage Not used on chip Power hungry and expensive Last resort to prevent supply voltage droops ECE 546 Jose Schutt Aine 29

30 Role & Function of Bypass Capacitors Inserted between power and ground in path between supply and load Supply AC current to load faster than inductor can respond Can be distributed or lumpedintermediate between a transmission line and and an LC circuit In reality includes some resistance and inductance ECE 546 Jose Schutt Aine 30

31 Bypass Capacitors Inductance of this short connection is very low Abbreviated path for charging current Power Only a smoothed current flows in this part of wiring High-frequency current in this path is suppressed GATE A Clock input C 1 C 2 Bypass capacitor power source Reduce voltage drops caused by the inductance of PDN ECE 546 Jose Schutt Aine 31

32 Natural Frequency - LC tank will resonate at natural frequency Iavg V C V max I I C avg avg sin( t) C L sin( Ct) C L C To keep the ripple within a prescribed V, the capacitor must be sized so that C B 2 Iavg L V ECE 546 Jose Schutt Aine 32

33 Frequency Range for Bypass Capacitors Capacitors at low frequencies Actually an RLC circuit Resonance frequencies LC frequency RC frequency Ineffective at either of these frequencies ECE 546 Jose Schutt Aine 33

34 Natural Frequency of Bypass Capacitors Load currents at frequencies well below c see an inductive impedance. Load currents at high frequencies see a capacitor. At c, impedance is infinite At c, even small currents will cause oscillations ECE 546 Jose Schutt Aine 34

35 Bypass Capacitor & series Regulator 1A 0A 10 ns 50 ns L= 10 nh What value of C B will keep V L to 5% with - No regulator - Series regulator 3.3V to 2.5V ECE 546 Jose Schutt Aine 35

36 Bypass Capacitor & series Regulator 1A 0A 10 ns Q cap I avs 50 ns No regulator V=125 mv I av =200 ma Q cap =6.4 nc C B > 76.8 nf With regulator V=925 mv I av =200 ma Q cap =6.4 nc C B > 7.39 nf P supply =660W ECE 546 Jose Schutt Aine 36

37 Symbiotic Bypass Capacitors On-Chip Bypass Capacitors MOS transistor with source and drain tied together About half the capacitors are symbiotic 50K Gate Module Example Load capacitance C ld =100fF 4,000 gates switching simultaneously 46,000 gates with output loads across power supplies 2.3 nf Adequate to average supply current over a cycle ECE 546 Jose Schutt Aine 37

38 On Chip Bypass Capacitors Area Bonding Flip chip More power distribution to next level of packaging Reduce inductance Helps metal migration problem A capacitor satisfies the relation: C Reduces current load to average value B ki t i av ck V Thin oxide MOS capacitor: MOS transistor with source and drain tied together C ox rowl t ox ECE 546 Jose Schutt Aine 38

39 Bypass Capacitor - Table C R S L C F RC F LC F LR On-chip MOS 0.35 x 114 mm) On-chip MOS (1.4 x 115 m) 250 ff GHz 1 pf GHz SMT ceramic 1 nf nh 160 MZ SMT ceramic 10 nf 0.1 1nH 50 MHz Ceramic disk 10 nf nh 23 MHz Aluminum electrolytic 10 F 1 10 nh 160 khz 16 MHz Aluminum electrolytic 1000F nh 3 khz 800 khz ECE 546 Jose Schutt Aine 39

40 Bypass Capacitor Network Design Using the parameters of the Table, derive a parallel combination of bypass capacitors that is able to supply the current needs of a load with the periodic triangular waveform sketched below that may start and stop abruptly. Your combined capacitor should hold voltage ripple to within 5% of the supply voltage. Assume that your capacitors are fed from a DC supply voltage of 3.3V through an inductance of 1 H. ECE 546 Jose Schutt Aine 40

41 Bypass Capacitor Network Design - Derive parallel combination of bypass capacitors - Hold voltage ripple to within 5% of supply voltage - DC supply of 3.3V - Generator internal inductance 1 H V in AC mode < 165mV 2) V Ldrop + V Cdrop < 165 mv 3) Capacitor must be operational above breakpoints Solution ECE 546 Jose Schutt Aine 41

42 Average current and charge sourced by capacitor: I ave 10A1ns 1.67A 6ns Q (1ns0.167 ns)( A) 6.94nC cap 1 st Rank In AC mode the V of the cap should be less than 165 mv, so: Qcap 6.94nC C rank1 42nF V 165mV Drop in series L must be less than 165mV V 165mV Lrank1 16.5pH di / dt 10A 1ns ECE 546 Jose Schutt Aine 42

43 1 st Rank - Need breakpoints above 1 GHz to insure true capacitor - From table, choose 60,000 1pF MOS on-chip cap (min:42,000) C 60 nf, L 0 rank1 rank1 R rank , resistance is negligible ECE 546 Jose Schutt Aine 43

44 2 nd Rank V Lrank 1 Crank Iave 2 165mV Lrank 2 60nF 586 ph 1.67A 2 Recall: To keep the ripple within a prescribed V, the capacitor must be sized so that 2 2 Iavg V CB L LCB V I avg Cannot connect the first rank up to the supply voltage since supply inductance is 1H and does not satisfy criterion Choose 12 SMT ceramic caps satisfies inductance calculations And doubles 1 st rank cap. C 120 nf, L 83.3pH rank 2 rank 2 R rank ECE 546 Jose Schutt Aine 44

45 3 rd Rank 165mV Lrank 3 120nF 1.17nH 1.67A Since this is less than the inductance of the supply, need to add 3 rd rank of caps Use 11 aluminum electrolytic caps 10nH Crank F, Lrank ph 11 1 R rank A 910mV V max 165 mv 2 This resistance looks high, need to determine the associated voltage drop NO GOOD ECE 546 Jose Schutt Aine 45

46 Need to reduce resistance to: 3 rd Rank R rank 3 165mV A Choose 60 aluminum electrolytic caps 10nH Crank F, Lrank ph 60 R rank ECE 546 Jose Schutt Aine 46

47 4 th Rank 165mV Lrank 4 600F 5.86H 1.67A 2 The inductance of the supply voltage satisfies this criterion no need for 4 th rank. ECE 546 Jose Schutt Aine 47

48 Modeling Power Distribution Networks (PDN) Ground planes power bus and return paths are not ideal and must be represented with parasitic inductors and resistors Resulting network is a two-dimensional lossy transmission line possibly non-uniform Bypass capacitors are needed to alleviate noise Simulation is computationally intensive ECE 546 Jose Schutt Aine 48

49 CAD Framework for PDN Design start Determination of target impedance Impedance calculation of PDN Selection of chip location Circuit Simulator (SPICE) Determination of inductance and resistance from a chip to all node points RLGC Extractor Determination of the number and locations of decoupling capacitors, locations and parasitic values Placement of components and impedance re-calculation end ECE 546 Jose Schutt Aine 49

50 Multilayer Power/Ground Plane Power planes support wave propagation They behave as cavity resonators supporting radial waves that propagate between the plates ECE 546 Jose Schutt Aine 50

51 Power/Ground Plane Circuit C R ac o r w d 2 f L d o R dc 2 t o 2 1 j G Ctan c d c ECE 546 Jose Schutt Aine 51

52 Example: Power Bus/Ground Plane Model Unit cell = Analysis Methods - SPICE - Transmission matrix method -LIM Goal is to obtain impedance matrix between some ports of interest as a function of frequency Resonance may occur Y cells X cells 52 ECE 546 Jose Schutt Aine 52

53 PDN design Strategy Power/ground planes Define unit cell and determine parameters Synthesize complete circuit model Vias and via coupling Incorporate vias as inductance May or may not account for mutual inductance Decoupling Capacitors Must determine optimal placement Impedance Calculations SPICE Transmission matrix LIM ECE 546 Jose Schutt Aine 53

54 Vias and Via Coupling Multiyared PDN can be represented as planes connected by vias. Many such vias are for reducing inductance and for thermal dissipation. ECE 546 Jose Schutt Aine 54

55 Decoupling Capacitors ECE 546 Jose Schutt Aine 55

56 Impedance Calculations V1 Z11 R1 jl1 Z12 I1 V Z Z R jl I For an ideal power distribution network, the desired characteristics are zero self impedance and zero trans-impedance between ports at all frequencies ECE 546 Jose Schutt Aine 56

57 Target Impedance The ratio of voltage to current must equal the impedance in the network Z T VDD ripple 50% I max V DD : power supply voltage ripple: allowed ripple on power supply I max : maximum current drawn by IC The target impedance is a function of frequency. The goal is to keep it as low as possible. ECE 546 Jose Schutt Aine 57

58 Impedance of Power Distribution Network Influenced by Package and Bypass Cap Increase in low-frequency due to resonance frequency of board connector Increase in the high-frequency impedance due to resonance frequency of decoupling capacitor Keep both resonance frequencies away from operating frequency Z o Frequency ECE 546 Jose Schutt Aine 58

59 On-Chip Power and Ground Distribution Distribution Network for Peripheral Bonding Power and ground are brought onto the chip via bond pads located along the four edges Metal buses provide routing from the edges to the remainder of the chip Local Buses GND VP Bus GND Bus VP Bus GND Bus VP Bus Wiring Tracks VP GND Bus VP Bus GND Bus VP Bus ECE 546 Jose Schutt Aine 59

60 Model for On-Chip Power Distribution V P R P R P R V P R P R P R 1 V 1 V 1 V P 1 V 1 V 1 GND I 1 I 2 I 3 I 4 I 5 R P R P R P R P R P R P I N R P Lr P w 2NW P A P LW P 2Nk N/2 N/2 2 ij pk L r P IR pk P P 2 i1 i1 4NkP V ij A R V IR in continuum, J r x J LP /2 pk w pk w dx 0 kp 8kP P P r L 2 P r w N k P A P : resistivity : # of segments : Area : fraction of metal layer devoted to power buses ECE 546 Jose Schutt Aine 60

61 Design a power distribution network for a peripherally bonded ASIC. Your chip is 15 mm 15 mm in area and contains 1M gate equivalents. Each gate equivalent drives a 200-fF load (40 ff of gate and 160 ff of wire) and switches on average every third cycle of a 100MHz clock. What is the total power dissipation of your chip? Assuming a peak current to average current ratio of 4:1, what fraction of a metal layer (or how many metal layers) do you need to distribute power so the overall supply fluctuation of a 2.5V supply is 250 mv? dv 1 Iavg C *1 M *200 ff *2.5 V *100 MHz A dt 3 J I / (15 mm) A/ mm avg IR Drop - Example avg 2 2 J 4J A/ mm peak avg 2 ECE 546 Jose Schutt Aine 61

62 IR Drop Therefore, the number of metal layers is K p 2 rw L Jpeak V If actual supply fluctuation is between Gnd and Vdd, each layer has less than 125mV fluctuation. Therefore, for each Gnd and Vdd, K p 2 rw L Jpeak V ECE 546 Jose Schutt Aine 62

63 Bypass Capacitor Network Design Chip mm in area with 1M Gates. Each has a 200 ff load (40 ff gate, 160 ff wire) and switches on average every 1/3 cycle of a 100 MHz clock. Find total power dissipation of chip. Peak current to average current ratio is 4:1, how many metal layers are needed to distribute power so the overall supply fluctuation of a 2.5V supply in 250 mv? From: K dv 1 Iavg C 1 M 200 ff 2.5 V 100 MHz A dt J I /(15 mm) A/ mm P avg avg J 4J A/ mm V peak IR avg J r L r L J 2 2 peak W P W peak KP 8KP 8VIR The number of metal layers is 2 rw L J peak V However, if we think that the supply fluctuation is between the Gnd and V dd, each layer has less than 125 mv. Thus for each Gnd and V dd 2 r W L J peak K P V ECE 546 Jose Schutt Aine 63

64 On-Chip IR Drop Large Voltage Drop Example: V IR =0.78V local supply down by 1.56V:unacceptable Voltage drop across global buses is dependent only on the fraction of metal layer devoted to each bus Remedy Use area bonded chip so that power need not be distributed from chip edge Use more or thicker metal layers Use on-chip bypass capacitors ECE 546 Jose Schutt Aine 64

65 IR Drop Calculation Circuit A Circuit B Circuit A and Circuit B will have different transient response to step excitation. However, their solutions for very large time will be same ECE 546 Jose Schutt Aine 65

66 IR Drop Calculation Circuit A Circuit B Circuit A and Circuit B have the same DC solution ECE 546 Jose Schutt Aine 66

67 IR Drop Computation Circuit A Circuit B DC solution for circuit A Proposition: In order to find the DC solution for Circuit A, we perform a LIM transient simulation on Circuit B and use the response for large time as the DC solution for Circuit A For large networks this is FASTER than MNA solution ECE 546 Jose Schutt Aine 67

68 Analysis of a Power Distribution Network Analysis of a PDN two types: Steady state (DC) analysis Dynamic (Transient simulation) Capacitors open-circuited Inductors short-circuited Power sources ideal voltage sources Power drains constant current sources Transient Simulation Effects of capacitance and inductance are taken into account Time-varying (switching) current sources Circuit model for a steady state power grid ECE 546 Jose Schutt Aine 68

69 t I I V V R I V Modified segment of the basic circuit with latency elements inserted n1 n n1/2 n1/2 n ij ij i j ij ij Lij CV n1/2 i i n Hi n1/2 t k1 i Ci G i t Steady State - Example N a I n ik Basic circuit example Steady state capacitances are open- circuited inductances are shorts-circuited Node Node voltage (V) A 0.6 B 0.8 C 0.7 D 0.9 LIM requires latency elements small shunt capacitances must be added at all non-vdd nodes small inductances must be inserted in all branches all latency is purely fictitious there is no limit on the value of inserted latency ECE 546 Jose Schutt Aine 69

70 Convergence of the Simulation Random Walk LIM Node Estimated voltage Estimated Actual voltage (V) (random walk) voltage (V) (LIM) (V) at the node A B C D LIM simulation demonstrates fast convergence and allows to achieve high accuracy ECE 546 Jose Schutt Aine 70

71 Numerical Results Runtimes of the LIM simulations were compared to the ones of the Random-Walk method for several large circuits [7] Number of nodes Runtime (CPU sec) (LIM) Runtime (CPU sec) (Random-Walk) 10 K < K K M M IR drop profile. Color scale shows the percentage change of the supply voltage relative to Vdd [7] D. Klokotov, P. Goh, and J. E. Schutt-Ainé, Latency Insertion Method (LIM) for DC Analysis of Power Supply Networks, IEEE Trans. Advanced Packaging, in press ECE 546 Jose Schutt Aine 71

ECE 598 JS Lecture 13 Power Distribution

ECE 598 JS Lecture 13 Power Distribution ECE 598 JS Lecture 13 Power Distribution Spring 2012 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

ECE 497 JS Lecture 16 Power Distribution

ECE 497 JS Lecture 16 Power Distribution ECE 497 JS Lecture 16 Power Distribution Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Overview Motivations & Objectives Power Supply Network

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Decoupling capacitor uses and selection

Decoupling capacitor uses and selection Decoupling capacitor uses and selection Proper Decoupling Poor Decoupling Introduction Covered in this topic: 3 different uses of decoupling capacitors Why we need decoupling capacitors Power supply rail

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

EUP V/12V Synchronous Buck PWM Controller DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit. 1

EUP V/12V Synchronous Buck PWM Controller DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit. 1 5V/12V Synchronous Buck PWM Controller DESCRIPTION The is a high efficiency, fixed 300kHz frequency, voltage mode, synchronous PWM controller. The device drives two low cost N-channel MOSFETs and is designed

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

PCB layout guidelines. From the IGBT team at IR September 2012

PCB layout guidelines. From the IGBT team at IR September 2012 PCB layout guidelines From the IGBT team at IR September 2012 1 PCB layout and parasitics Parasitics (unwanted L, R, C) have much influence on switching waveforms and losses. The IGBT itself has its own

More information

NJM3777 DUAL STEPPER MOTOR DRIVER NJM3777E3(SOP24)

NJM3777 DUAL STEPPER MOTOR DRIVER NJM3777E3(SOP24) DUAL STEPPER MOTOR DRIER GENERAL DESCRIPTION The NJM3777 is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. The NJM3777 is equipped

More information

UNISONIC TECHNOLOGIES CO., LTD UC3750 Preliminary CMOS IC

UNISONIC TECHNOLOGIES CO., LTD UC3750 Preliminary CMOS IC UNISONIC TECHNOLOGIES CO., LTD UC3750 Preliminary CMOS IC 600kHZ PWM/PFM STEP-DOWN DC-DC CONTROLLER DESCRIPTION The UTC UC3750 is a high frequency, micropower, voltage mode step-down DC-DC controller IC

More information

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011A is a high efficiency, 2.5W mono class-d audio power amplifier. A new developed filterless PWM

More information

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters Maxim > App Notes > AUTOMOTIVE GENERAL ENGINEERING TOPICS POWER-SUPPLY CIRCUITS PROTOTYPING AND PC BOARD LAYOUT Keywords: printed circuit board, PCB layout, parasitic inductance, parasitic capacitance,

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Features MIC2193BM. Si9803 ( 2) 6.3V ( 2) VDD OUTP COMP OUTN. Si9804 ( 2) Adjustable Output Synchronous Buck Converter

Features MIC2193BM. Si9803 ( 2) 6.3V ( 2) VDD OUTP COMP OUTN. Si9804 ( 2) Adjustable Output Synchronous Buck Converter MIC2193 4kHz SO-8 Synchronous Buck Control IC General Description s MIC2193 is a high efficiency, PWM synchronous buck control IC housed in the SO-8 package. Its 2.9V to 14V input voltage range allows

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Solid State Devices & Circuits. 18. Advanced Techniques

Solid State Devices & Circuits. 18. Advanced Techniques ECE 442 Solid State Devices & Circuits 18. Advanced Techniques Jose E. Schutt-Aine Electrical l&c Computer Engineering i University of Illinois jschutt@emlab.uiuc.edu 1 Darlington Configuration - Popular

More information

Switched Capacitor Voltage Converter with Regulated Output ADP3603*

Switched Capacitor Voltage Converter with Regulated Output ADP3603* a FEATURES Fully Regulated Output High Output Current: ma ma Version (ADP6) Is Also Available Outstanding Precision: % Output Accuracy Input Voltage Range: +. V to +6. V Output Voltage:. V (Regulated)

More information

LM2412 Monolithic Triple 2.8 ns CRT Driver

LM2412 Monolithic Triple 2.8 ns CRT Driver Monolithic Triple 2.8 ns CRT Driver General Description The is an integrated high voltage CRT driver circuit designed for use in high resolution color monitor applications. The IC contains three high input

More information

AT V,3A Synchronous Buck Converter

AT V,3A Synchronous Buck Converter FEATURES DESCRIPTION Wide 8V to 40V Operating Input Range Integrated 140mΩ Power MOSFET Switches Output Adjustable from 1V to 25V Up to 93% Efficiency Internal Soft-Start Stable with Low ESR Ceramic Output

More information

Features. RAMP Feed Forward Ramp/ Volt Sec Clamp Reference & Isolation. Voltage-Mode Half-Bridge Converter CIrcuit

Features. RAMP Feed Forward Ramp/ Volt Sec Clamp Reference & Isolation. Voltage-Mode Half-Bridge Converter CIrcuit MIC3838/3839 Flexible Push-Pull PWM Controller General Description The MIC3838 and MIC3839 are a family of complementary output push-pull PWM control ICs that feature high speed and low power consumption.

More information

TFT-LCD DC/DC Converter with Integrated Backlight LED Driver

TFT-LCD DC/DC Converter with Integrated Backlight LED Driver TFT-LCD DC/DC Converter with Integrated Backlight LED Driver Description The is a step-up current mode PWM DC/DC converter (Ch-1) built in an internal 1.6A, 0.25Ω power N-channel MOSFET and integrated

More information

EUP3410/ A,16V,380KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUP3410/ A,16V,380KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 2A,16V,380KHz Step-Down Converter DESCRIPTION The is a current mode, step-down switching regulator capable of driving 2A continuous load with excellent line and load regulation. The can operate with an

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

Features. Slope Comp Reference & Isolation

Features. Slope Comp Reference & Isolation MIC388/389 Push-Pull PWM Controller General Description The MIC388 and MIC389 are a family of complementary output push-pull PWM control ICs that feature high speed and low power consumption. The MIC388/9

More information

CLOCK AND SIGNAL DISTRIBUTION USING FCT CLOCK BUFFERS

CLOCK AND SIGNAL DISTRIBUTION USING FCT CLOCK BUFFERS CLOCK AND SIGNAL DISTRIBUTION USING FCT CLOCK BUFFERS APPLICATION NOTE AN-0 INTRODUCTION In synchronous systems where timing and performance of the system are dependent on the clock, integrity of the clock

More information

EUP A,30V,500KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUP A,30V,500KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 5A,30V,500KHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 5A continuous load with excellent line and load regulation. The operates with an input

More information

MIC4414/4415. General Description. Features. Applications. Typical Application. 1.5A, 4.5V to 18V, Low-Side MOSFET Driver

MIC4414/4415. General Description. Features. Applications. Typical Application. 1.5A, 4.5V to 18V, Low-Side MOSFET Driver MIC4414/4415 1.5A, 4.5V to 18V, Low-Side MOSFET Driver General Description The MIC4414 and MIC4415 are low-side MOSFET drivers designed to switch an N-channel enhancement type MOSFET in low-side switch

More information

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1 19-1673; Rev 0a; 4/02 EVALUATION KIT MANUAL AVAILABLE 45MHz to 650MHz, Integrated IF General Description The are compact, high-performance intermediate-frequency (IF) voltage-controlled oscillators (VCOs)

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators 6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators Massachusetts Institute of Technology March 29, 2005 Copyright 2005 by Michael H. Perrott VCO Design for Narrowband

More information

Low Noise Amplifier Design

Low Noise Amplifier Design THE UNIVERSITY OF TEXAS AT DALLAS DEPARTMENT OF ELECTRICAL ENGINEERING EERF 6330 RF Integrated Circuit Design (Spring 2016) Final Project Report on Low Noise Amplifier Design Submitted To: Dr. Kenneth

More information

EUP3452A. 2A,30V,300KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUP3452A. 2A,30V,300KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 2A,30V,300KHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 2A continuous load with excellent line and load regulation. The can operate with an input

More information

CEP8113A Rev 2.0, Apr, 2014

CEP8113A Rev 2.0, Apr, 2014 Wide-Input Sensorless CC/CV Step-Down DC/DC Converter FEATURES 42V Input Voltage Surge 40V Steady State Operation Up to 3.5A output current Output Voltage 2.5V to 10V Resistor Programmable Current Limit

More information

Code: 9A Answer any FIVE questions All questions carry equal marks *****

Code: 9A Answer any FIVE questions All questions carry equal marks ***** II B. Tech II Semester (R09) Regular & Supplementary Examinations, April/May 2012 ELECTRONIC CIRCUIT ANALYSIS (Common to EIE, E. Con. E & ECE) Time: 3 hours Max Marks: 70 Answer any FIVE questions All

More information

How to Design an R g Resistor for a Vishay Trench PT IGBT

How to Design an R g Resistor for a Vishay Trench PT IGBT VISHAY SEMICONDUCTORS www.vishay.com Rectifiers By Carmelo Sanfilippo and Filippo Crudelini INTRODUCTION In low-switching-frequency applications like DC/AC stages for TIG welding equipment, the slow leg

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

AltiumLive 2017: Component selection for EMC

AltiumLive 2017: Component selection for EMC AltiumLive 2017: Component selection for EMC Martin O Hara Victory Lighting Ltd Munich, 24-25 October 2017 Component Selection Passives resistors, capacitors and inductors Discrete diodes, bipolar transistors,

More information

ECE 546 Lecture 12 Integrated Circuits

ECE 546 Lecture 12 Integrated Circuits ECE 546 Lecture 12 Integrated Circuits Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Integrated Circuits IC Requirements

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

Improvements of LLC Resonant Converter

Improvements of LLC Resonant Converter Chapter 5 Improvements of LLC Resonant Converter From previous chapter, the characteristic and design of LLC resonant converter were discussed. In this chapter, two improvements for LLC resonant converter

More information

AT V Synchronous Buck Converter

AT V Synchronous Buck Converter 38V Synchronous Buck Converter FEATURES DESCRIPTION Wide 8V to 38V Operating Input Range Integrated two 140mΩ Power MOSFET Switches Feedback Voltage : 220mV Internal Soft-Start / VFB Over Voltage Protection

More information

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis

More information

LM2462 Monolithic Triple 3 ns CRT Driver

LM2462 Monolithic Triple 3 ns CRT Driver LM2462 Monolithic Triple 3 ns CRT Driver General Description The LM2462 is an integrated high voltage CRT driver circuit designed for use in color monitor applications. The IC contains three high input

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

MIC38C42A/43A/44A/45A

MIC38C42A/43A/44A/45A MIC38C42A/43A/44A/45A BiCMOS Current-Mode PWM Controllers General Description The MIC38C4xA are fixed frequency, high performance, current-mode PWM controllers. Micrel s BiCMOS devices are pin compatible

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

CONSONANCE. 4A, Standalone Li-ion Battery Charger IC With Photovoltaic Cell MPPT Function CN3791. General Descriptions: Features: Pin Assignment:

CONSONANCE. 4A, Standalone Li-ion Battery Charger IC With Photovoltaic Cell MPPT Function CN3791. General Descriptions: Features: Pin Assignment: 4A, Standalone Li-ion Battery Charger IC With Photovoltaic Cell MPPT Function CN3791 General Descriptions: The CN3791 is a PWM switch-mode lithium ion battery charger controller that can be powered by

More information

Freescale Semiconductor, I

Freescale Semiconductor, I Order this document by /D Noise Reduction Techniques for Microcontroller-Based Systems By Imad Kobeissi Introduction With today s advancements in semiconductor technology and the push toward faster microcontroller

More information

Best Design and Layout Practices for SiTime Oscillators

Best Design and Layout Practices for SiTime Oscillators March 17, 2016 Best Design and Layout Practices 1 Introduction... 1 2 Decoupling... 1 3 Bypassing... 4 4 Power Supply Noise Reduction... 5 5 Power Supply Management... 6 6 Layout Recommendations for SiTime

More information

EUP A,40V,200KHz Step-Down Converter

EUP A,40V,200KHz Step-Down Converter 3A,40V,200KHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 3A continuous load with excellent line and load regulation. The operates with an input

More information

2A, 23V, 380KHz Step-Down Converter

2A, 23V, 380KHz Step-Down Converter 2A, 23V, 380KHz Step-Down Converter General Description The is a buck regulator with a built-in internal power MOSFET. It achieves 2A continuous output current over a wide input supply range with excellent

More information

320 ma Switched Capacitor Voltage Doubler ADP3610

320 ma Switched Capacitor Voltage Doubler ADP3610 a FEATURES Push-Pull Charge Pump Doubler Reduces Output Ripple 3.0 V to 3.6 V Operation > 5.4 V @ 320 ma Maximum Load Output Impedance, R TOTAL 1.66 Shutdown Capability Overvoltage Protection: > 4 V Operating

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

CEP8101A Rev 1.0, Apr, 2014

CEP8101A Rev 1.0, Apr, 2014 Wide-Input Sensorless CC/CV Step-Down DC/DC Converter FEATURES 42V Input Voltage Surge 40V Steady State Operation Up to 2.1A output current Output Voltage 2.5V to 10V Resistor Programmable Current Limit

More information

EUP A,30V,1.2MHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUP A,30V,1.2MHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 1.2A,30V,1.2MHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 1.2A continuous load with excellent line and load regulation. The can operate with

More information

Features. 5V Reference UVLO. Oscillator S R

Features. 5V Reference UVLO. Oscillator S R MIC38C42/3/4/5 BiCMOS Current-Mode PWM Controllers General Description The MIC38C4x are fixed frequency, high performance, current-mode PWM controllers. Micrel s BiCMOS devices are pin compatible with

More information

Type Ordering Code Package TDA Q67000-A5066 P-DIP-8-1

Type Ordering Code Package TDA Q67000-A5066 P-DIP-8-1 Control IC for Switched-Mode Power Supplies using MOS-Transistor TDA 4605-3 Bipolar IC Features Fold-back characteristics provides overload protection for external components Burst operation under secondary

More information

MAX15070A/MAX15070B 7A Sink, 3A Source, 12ns, SOT23 MOSFET Drivers

MAX15070A/MAX15070B 7A Sink, 3A Source, 12ns, SOT23 MOSFET Drivers General Description The /MAX15070B are high-speed MOSFET drivers capable of sinking 7A and sourcing 3A peak currents. The ICs, which are an enhancement over MAX5048 devices, have inverting and noninverting

More information

Recommended External Circuitry for Transphorm GaN FETs. Zan Huang Jason Cuadra

Recommended External Circuitry for Transphorm GaN FETs. Zan Huang Jason Cuadra Recommended External Circuitry for Transphorm GaN FETs Zan Huang Jason Cuadra Application Note Rev. 1.0 November 22, 2016 Table of Contents 1 Introduction 3 2 Sustained oscillation 3 3 Solutions to suppress

More information

AIC1340 High Performance, Triple-Output, Auto- Tracking Combo Controller

AIC1340 High Performance, Triple-Output, Auto- Tracking Combo Controller High Performance, Triple-Output, Auto- Tracking Combo Controller FEATURES Provide Triple Accurate Regulated Voltages Optimized Voltage-Mode PWM Control Dual N-Channel MOSFET Synchronous Drivers Fast Transient

More information

CONSONANCE. 4A, Standalone Li-ion Battery Charger CN3761. General Descriptions: Features: Pin Assignment: Applications:

CONSONANCE. 4A, Standalone Li-ion Battery Charger CN3761. General Descriptions: Features: Pin Assignment: Applications: 4A, Standalone Li-ion Battery Charger CN3761 General Descriptions: The CN3761 is a PWM switch-mode lithium ion battery charger controller for 1 cell li-ion battery in a small package using few external

More information

1.5MHz, 2A Synchronous Step-Down Regulator

1.5MHz, 2A Synchronous Step-Down Regulator 1.5MHz, 2A Synchronous Step-Down Regulator General Description The is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference voltage

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA As presented at PCIM 2001 Today s servers and high-end desktop computer CPUs require peak currents

More information

180KHz, 5A Step-down Converter With Cable Dropout Compensation

180KHz, 5A Step-down Converter With Cable Dropout Compensation 180KHz, 5A Step-down Converter With Cable Dropout Compensation General Description The is a compact, high efficiency, high speed synchronous monolithic step-down switching regulator designed to power 5V

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

SGM6132 3A, 28.5V, 1.4MHz Step-Down Converter

SGM6132 3A, 28.5V, 1.4MHz Step-Down Converter GENERAL DESCRIPTION The SGM6132 is a current-mode step-down regulator with an internal power MOSFET. This device achieves 3A continuous output current over a wide input supply range from 4.5V to 28.5V

More information

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

MIC2196. Features. General Description. Applications. Typical Application. 400kHz SO-8 Boost Control IC

MIC2196. Features. General Description. Applications. Typical Application. 400kHz SO-8 Boost Control IC 400kHz SO-8 Boost Control IC General Description Micrel s is a high efficiency PWM boost control IC housed in a SO-8 package. The is optimized for low input voltage applications. With its wide input voltage

More information

10 AMP, 75V, 3 PHASE MOSFET BRUSHLESS MOTOR CONTROLLER

10 AMP, 75V, 3 PHASE MOSFET BRUSHLESS MOTOR CONTROLLER M.S.KENNEDY CORP. 10 AMP, 75V, 3 PHASE MOSFET BRUSHLESS MOTOR CONTROLLER ISO 9001 CERTIFIED BY DSCC 1464 4707 Dey Road, Liverpool, N.Y. 13088 (315) 7016751 FEATURES: MILPRF38534 QUALIFIED 75 Volt Motor

More information

1MHz, 3A Synchronous Step-Down Switching Voltage Regulator

1MHz, 3A Synchronous Step-Down Switching Voltage Regulator FEATURES Guaranteed 3A Output Current Efficiency up to 94% Efficiency up to 80% at Light Load (10mA) Operate from 2.8V to 5.5V Supply Adjustable Output from 0.8V to VIN*0.9 Internal Soft-Start Short-Circuit

More information

EUA W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUA W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 3-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011 is a high efficiency, 3W mono class-d audio power amplifier. A low noise, filterless PWM architecture eliminates the output filter,

More information

AT2596 3A Step Down Voltage Switching Regulators

AT2596 3A Step Down Voltage Switching Regulators FEATURES Standard PSOP-8/TO-220-5L /TO-263-5L Package Adjustable Output Versions Adjustable Version Output Voltage Range 1.23V to 37V V OUT Accuracy is to ± 3% Under Specified Input Voltage the Output

More information

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers ECE 442 Solid State Devices & Circuits 15. Differential Amplifiers Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 442 Jose Schutt Aine 1 Background

More information

1 MHz to 2.7 GHz RF Gain Block AD8354

1 MHz to 2.7 GHz RF Gain Block AD8354 Data Sheet FEATURES Fixed gain of 2 db Operational frequency of 1 MHz to 2.7 GHz Linear output power up to 4 dbm Input/output internally matched to Ω Temperature and power supply stable Noise figure: 4.2

More information

1 MHz to 2.7 GHz RF Gain Block AD8354

1 MHz to 2.7 GHz RF Gain Block AD8354 1 MHz to 2.7 GHz RF Gain Block AD834 FEATURES Fixed gain of 2 db Operational frequency of 1 MHz to 2.7 GHz Linear output power up to 4 dbm Input/output internally matched to Ω Temperature and power supply

More information

Application Note 5012

Application Note 5012 MGA-61563 High Performance GaAs MMIC Amplifier Application Note 5012 Application Information The MGA-61563 is a high performance GaAs MMIC amplifier fabricated with Avago Technologies E-pHEMT process and

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

MINIMIZING EMI EFFECTS DURING PCB LAYOUT OF Z8/Z8PLUS CIRCUITS

MINIMIZING EMI EFFECTS DURING PCB LAYOUT OF Z8/Z8PLUS CIRCUITS APPLICATION NOTE MINIMIZING EMI EFFECTS DURING PCB LAYOUT OF Z8/Z8PLUS CIRCUITS INTRODUCTION The Z8/Z8Plus families have redefined ease-of-use by being the simplest 8-bit microcontrollers to program. Combined

More information

HIGH SPEED, 100V, SELF OSCILLATING 50% DUTY CYCLE, HALF-BRIDGE DRIVER

HIGH SPEED, 100V, SELF OSCILLATING 50% DUTY CYCLE, HALF-BRIDGE DRIVER Data Sheet No. 60206 HIGH SPEED, 100V, SELF OSCILLATING 50% DUTY CYCLE, HALF-BRIDGE DRIVER Features Simple primary side control solution to enable half-bridge DC-Bus Converters for 48V distributed systems

More information

2A, 23V, 380KHz Step-Down Converter

2A, 23V, 380KHz Step-Down Converter 2A, 23V, 380KHz Step-Down Converter FP6182 General Description The FP6182 is a buck regulator with a built in internal power MOSFET. It achieves 2A continuous output current over a wide input supply range

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

4.5V to 32V Input High Current LED Driver IC For Buck or Buck-Boost Topology CN5816. Features: SHDN COMP OVP CSP CSN

4.5V to 32V Input High Current LED Driver IC For Buck or Buck-Boost Topology CN5816. Features: SHDN COMP OVP CSP CSN 4.5V to 32V Input High Current LED Driver IC For Buck or Buck-Boost Topology CN5816 General Description: The CN5816 is a current mode fixed-frequency PWM controller for high current LED applications. The

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

3A, 23V, 380KHz Step-Down Converter

3A, 23V, 380KHz Step-Down Converter 3A, 23V, 380KHz Step-Down Converter General Description The is a buck regulator with a built in internal power MOSFET. It achieves 3A continuous output current over a wide input supply range with excellent

More information

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide HT32 Series rystal Oscillator, AD Design Note and PB Layout Guide HT32 Series rystal Oscillator, AD Design Note and PB Layout Guide D/N:AN0301E Introduction This application note provides some hardware

More information