Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs

Size: px
Start display at page:

Download "Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs"

Transcription

1 Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs Moon S. Kim, Huichu Liu, Karthik Swaminathan, Xueqing Li, Suman Datta, and Vijaykrishnan Narayanan The Pennsylvania State University Oct 21, 2014

2 Why TFET? CMOS Scaling Challenge 3.0 Delay (us) 1.6 VCC and VT (V) V T V CC Slowdown of V CC scaling V Year Non-Scalability of V T 1.0 V V CC (V) V T K. Swaminathan et al, IEEE Micro H. Jeon Trans on Instrument and Measurement Slide 2

3 Why TFET? CMOS Scaling Challenge Subthreshold Swing (SS), I ON, and Leakage I OFF V DD S A. M. Ionescu, H. Riel, Nature A. M. Ionescu, IEDM short course Device: off on Due to 60 mv/dec CMOS SS limit, reducing V T (so as to reduce VDD for lower power) increases the leakage power significantly; With a lower SS, Tunnel FETs can operate at a lower VDD and lower power. Slide 3

4 Emerging Tunnel FETs (TFETs) Deway et al., IEDM 11 Mohata et al., VLSI 12 K. Moselund et al., IBM Mo High-k Pd (gate) N+ In 0.9 Ga 0.1 As (drain) i-in 0.9 Ga 0.1 As (channel) ILD Xing et al., IEDM 12 Rooyackers et al., IEDM nm P+ GaAs 0.18 Sb 0.82 (source) Bijesh R. et al., IEDM 13 A. M. Ionescu, IEDM short course Slide 4

5 III-V GaSb-InAs Heterojunction TFET (HTFET) HTFET: High I on and low SS achieved in n- and p- TFET with electrostatic improvement. Non-steep subthreshold slope is mainly due to the trap assisted tunneling (TAT) of the source/channel interface states. Further improvement on material interface is required. V. Narayanan, ISLPED Slide 5

6 Drain Current, log(id) III-V GaSb-InAs Heterojunction TFET (HTFET) HTFET Structure and Operation Essentially a gated reverse-biased p-i-n tunnel diode with asymmetrical source/drain doping; Band-to-Band tunneling (BTBT) induced from the sub-thermal switching leads to <60mV/dec SS, more abrupt ON-OFF transition compared to CMOS. Source Sub-60 mv/decade Gate Channel Drain I ON 60 mv/decade I OFF Gate Voltage, V G L. Chang IEEE Proceeding 2010; A. Seabaugh et al, IEEE Proc., Nov 2010 Slide 6

7 Modeling HTFET: Device to Architecture Verilog-A Device Model DC Validation TCAD Model Calibration Circuit & Architecture Design Transient Validation Slide 7

8 I DS (A/um) GaSb-InAs TFET Characteristics IDS (ua/um) DS = 0.4 V HTFET CMOS 30 mv/decade 60 mv/decade V GS (V) 0.8 IDS (ua/um) GS = 0.4 V High R O HTFET CMOS V DS (V) 0.8 Key Features Observed Steep-slope with High I low V CC High saturated small-signal R O Uni-directional Tunneling Negative differential resistance (NDR) NDR H. Liu et al, ISLPED13 B. Sedighi et al, A CNN-Inspired Mixed Signal Processor Based on Tunnel Transistors, DATE 2015, submitted Slide 8

9 GaSb-InAs TFET Characteristics (cont.) Example of Switch Design Considerations D Q LK D LK Reset Reset Q Reset Reset Type 2. Transmission based Si FinFET DFF Discharging path D Q Additional switch for bidirectional conduction Reset Reset Type 1. C 2 MOS DFF Type 2. Transmission based HTFET DFF Slide 9

10 GaSb-InAs TFET Characteristics (cont.) Capacitance versus gate voltage Suppressed capacitance (low voltage) Enhanced Miller effect (full voltage) VIN, VOUT (mv) V IN HTFET FO1 INV1 V OUT Enhanced Miller Cap Effect Time (ps) S. Mookerjea et al., EDL H. Liu et al., Tunnel FET RF rectifier design for energy harvesting applications, JETCAS 2014 Slide 10

11 GaSb-InAs TFET Characteristics (cont.) g m,htfet /g m,cmos f T (GHz) g m,htfet /g m,cmos Gain of g m R O f T CMOS HTFET Gain of g m R O HTFET CMOS HTFET CMOS 10 I DS (ua/um) I DS (ua/um) I DS (ua/um) The g m, HTFET is significantly larger than g m,cmos at a low current. With larger small-signal Ro, the intrinsic gain is further improved. HTFET has >300 GHz while CMOS shows higher f T at a higher current. The peak f T at a lower current makes HTFET attractive for low-power applications. B. Sedighi et al., Analog Circuit Design Using Tunnel-FETs, TCAS-I, to be published Slide 11

12 GaSb-InAs TFET Characteristics (cont.) Flicker noise is based on carrier number fluctuation The shot noise is modeled similar to tunnel diode The thermal noise model is similar to the Si-FinFET thermal model R. Pandey, et al., Electrical Noise in Heterojunction Interband Tunnel FETs, TED, Feb 2014 K. K. Hung, et al., A physics-based MOSFET noise model for circuit simulators, TED, May Slide 12

13 GaSb-InAs TFET Characteristics (cont.) [Hz -1 ] S id /i d 2 [Hz -1 ] Tunnel FET Flicker Noise (interface traps) TFET flicker noise highly depends on the spreading of the tunneled carriers in the channel (L ), which is independent of the channel-length (L g ) TFET Drain Current Flicker Noise Power Analytical Model: Bijesh, R., et al, DRC Rahul, P., et al, TED S id /i d x x10-8 T=77K V DS =0.5V 1.0x x10-9 1/f V DS =0.5V Measured: Scatter Analytical Model: Line B=1.46x10 6 V/cm T=77K B=4x10 6 V/cm Heteroj-TFET Homoj-TFET I DS [ A/ m] Heteroj-TFET Homoj-TFET Measurements T=300K Frequency [Hz] Slide 13

14 GaSb-InAs TFET Characteristics (cont.) Overall Electrical Noise: HTFETs vs Si FinFETs A larger noise of HTFET at low I D is due to the smaller tunneling length of carriers. HTFET noise reduces faster is due to faster carrier density increase. Competitive device noise Lower input-referred noise for HTFET with high gains Ref: Rahul, P., et al, TED Slide 14

15 HTFET Standard Cell Library Standard Cell Library Design Flow Enables quick evaluation of large-scale digital circuits. Behavioral Verilog System Designs (i.e., Arithmetic logics, Accelerators, Registers, etc.) Device: 20nm TFET [1] TFET Verilog-A models Architecture level Designs TFET Cell Library Synthesis Lookup tables Architecture Evaluation Existing Core Models (Ivybridge, UltraSparc) Modification of circuit designs: Due to uni-directional conduction of TFET, some circuits (i.e., MUX, DFF, Etc.) have been modified to retain the discharge path. Modification of design for cells Spice Simulations Optimization (timing and power) Generate Power and timing tables Architecture Simulation (Sniper, Gem5, GEMS) Timing + Power with Wire Models (McPAT) K. Swaminathan et al, DAC2014. Technology library database (*.db) System Evaluation Slide 15

16 Potential Design Space By TFETs Ultra Low power Energy Harvesting, Wearable Computing Architectural Innovation Heterogeneous multicores Simple/complex pipelined processors High Performance Computing Low power/ Embedded Systems Mobile/Tablet Processors Thermal-aware design Hotspots Domain-specific accelerators P 1 Q 1 - X + P 2 - X Q 2 3D Stacking Slide 16

17 Digital Benchmarking: Tunnel FET vs. CMOS V DD = 0.5 V unless indicated Lower energy than HP CMOS 0.2 V Lower delay than LP CMOS preferred corner 0.09 V Nikonov and Young, IEEE Proc Device simulations Estimated performance D. Nikonov and I. Young, Overview of beyond-cmos devices and a uniform methodology for their benchmarking, Proc. IEEE, 2013 Slide 17

18 Euclidean Distance Computation P 1 Q 1 P 2 Q 2 P 3 HTFET accelerators outperform a range of CMOS designs 6X energy reduction over iso-voltage CMOS design 30% less energy than iso-performance CMOS design Q 3 P 4 Q 4 P 63 Q 63 P 64 Q 64 Ref: K. Swaminathan et al, DATE Slide 18

19 Normalized Speedup CMOS-TFET Heterogeneous Multicores CMOS has higher peak high VDD TFET Processor is cooler Maximize performance using CMOS-TFET heterogeneous multicores Device replacement Dynamic task map Static power partition Dyn. task map Dyn. power partition Best-Base Baseline Hetero-Simple Hetero-DynWork Hetero-Auto Many TFET cores Few CMOS cores applu apsi equake gafort swim wupwise Average K. Swaminathan et al, ISCA Slide 19

20 Normalized Speedup TFET in 3D Stacked Multicore Systems Peak performance (thermal and yield constrained) Best CMOS perf Best TFET perf Relative performance of a 64-core CMOS and HTFET 3D stacked system, normalized to a single core CMOS performance. 18% speedup obtained by using HTFET cores over CMOS cores. Heterogeneous 3D stacked CMOS-HTFET multicore system can result in even higher speedups K. Swaminathan et al, ISCA Slide 20

21 Emerging System Design Using HTFET (H. Liu et al., ISLPED 13, JETCAS14) Increase the harvested power Reduce the power consumption Slide 21

22 High-Efficiency HTFET Rectifier Steep-Slope Features: Lower threshold voltage and resistive loss Uni-diretional Conduction: Lower leakage current from output to input H. Liu et al., Tunnel FET RF rectifier design for energy harvesting applications, JETCAS 2014 Slide 22

23 High-Efficiency HTFET Switched- Capacitor DC-DC Converter X V IN M1 M2 X Y Y M3 M4 Biasing Biasing C L V OUT R L V IN M1 M2 X Φ B Φ A M3 M4 V OUT C P C P φ A φ B Nonoverlapping phase driver Φ A Φ B Simplified phase generator (a) Conventional design (b) Proposed design (c) Efficiency Steep-Slope Features Lower threshold voltage and resistive loss Uni-diretional Current Conduction Lower leakage current from output to input Lower power by simplified phase generator Novel topology of doubling output gate control for lower resistive loss U. Heo et al., A high-efficiency switched-capacitance HTFET charge pump for low-input-voltage applications, VLSI Design 2015 Slide 23

24 Supply Current [ A] H. Liu., et al, ISLPED 14. Low-Noise HTFET Neural Amplifier Motivation: Low-Noise Amplifier for Neural Signal Recording Key Design Points: High gain Low input-referred noise Low power Nurmikko et al. Listening to Brain Microcircuits for Interfacing With External World, 2010 IEEE Proceeding. Steep-Slope Tunnel FET Neural Amplifier NEF=10 [4] [8] [9] HTFET Neural [6] Amplifier [this work] NEF=1 Si FinFET Neural Amplifier [this work] NEF CMOS,min v in,rms / BW [ V rms / Hz] [4] UU@JSSC 03 [6] UW@T.BCAS 12 [8] EPFL@EMBC 12 [9] MIT@T.BCAS 07 Slide 24

25 ENOBs ENOBs [bit] [bit] FoM FoM [fj/conversion-step] [fj/conversion-step] FoM [fj/conversion-step] Energy [kt] ENOBs ENOBs [bit] [bit] ENOBs ENOBs [bit] [bit] ENOBs [bit] ENOBs [bit] ENOBs ENOBs [bit] [bit] ENOBs [bit] SNDR SNDR [db] [db] Energy [kt] SAR: most digitized V REF 6bit Low-Power HTFET SAR ADC Analog Input V DD V REF MUX 6bit Successive External Approximation Register Comparator 8 fc 6bit 8 8 Binary Ideal Ideal HTFET HTFET 6bit Binary Decoder HTFET Ideal 7 HTFET Ideal Si Si Si FinFET HTFET Si Si Si FinFET 7 7 Si 7 7 Ideal Si Si FinFET 5.5 Si 6 Si FinFET Si Si FinFET Input 4 Frequencies [khz] Input Frequencies 0.5 [V] 0.5 [khz] Supply Voltages [V] Supply 0.4 [V] 6 Voltages [V] Supply Voltages [V] Supply Voltages [V] Supply Voltages [V] [V] Supply Voltages [V] [V] A/D Converter Survey Data 10 8 HTFET Enables Noise Limit 10 6 Energy Reduction Technology Limit E 10 4 Class_A ADCs ADCs E min ADCs SNDR [db] V 0.40 V V E Class-A 0.50V 0.40V 0.30V HTFET ADC Si FinFET ADC Emin SNDR [db] M. Kim., et al, TED 2014 Slide 25

26 Summary Promising Beyond-CMOS candidate Technology scaling has forced us to plan for the Post-CMOS era HTFET can complement, or replace CMOS enabling new design spaces Key devices features Steep-slope switching, Uni-directional current conduction, High small-signal R O in saturation, high g m /I ds, high f T at low current, Negative differential resistance (NDR), Competitive noise performance Parallelism and 3D design further improves performance Beneficial device features in analog/rf circuits design Amplifier Current-mode logic RF transceiver Rectifier DC-DC converter A/D converter Energy harvesting Power management Future work Device fabrication, modeling, circuit & system design and evaluations Slide 26

27 Contact Info Thanks Q&A Xueqing Li: Vijaykrishnan Narayanan: Suman Datta: Huichu Liu: Moon Seok Kim: Karthik Swaminathan: Slide 27

An Energy-Efficient Heterogeneous CMP based on Hybrid TFET-CMOS Cores

An Energy-Efficient Heterogeneous CMP based on Hybrid TFET-CMOS Cores An Energy-Efficient Heterogeneous CMP based on Hybrid TFET-CMOS Cores Abstract The steep sub-threshold characteristics of inter-band tunneling FETs (TFETs) make an attractive choice for low voltage operations.

More information

The Pennsylvania State University. The Graduate School. Department of Electrical Engineering

The Pennsylvania State University. The Graduate School. Department of Electrical Engineering The Pennsylvania State University The Graduate School Department of Electrical Engineering DEVICE CIRCUIT INTERACTIONS FOR STEEP SWITCHING SLOPE DEVICES A Dissertation in Electrical Engineering by Huichu

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Design 1 H 4 S. Huichu Liu uman Dattaa. 3 Xueqing Li. ABSTRACTT Ultra-low power. Keywords 16802, USA. Switzerland PA, 16802, USA.

Design 1 H 4 S. Huichu Liu uman Dattaa. 3 Xueqing Li. ABSTRACTT Ultra-low power. Keywords 16802, USA. Switzerland PA, 16802, USA. Tunnel FET-Based Ultra-Lo ow Power, Low- Design for Bio-signall Acquisition 1 H 4 S Huichu Liu uman Dattaa 1,4 Electrical Engineeringg Dept., Pennsylvania State University, PA, 1682, USA 1 hxl249@psu.edu,

More information

Copyright notice. This paper is a Postprint version of the paper

Copyright notice. This paper is a Postprint version of the paper Copyright notice This paper is a Postprint version of the paper Cavalheiro, D.; Moll, F.; Valtchev, S., "A battery-less, self-sustaining RF energy harvesting circuit with TFETs for µw power applications,"

More information

Copyright notice. This paper is a Postprint version of the paper

Copyright notice. This paper is a Postprint version of the paper Copyright notice This paper is a Postprint version of the paper Cavalheiro, D.; Moll, F.; Valtchev, S., Novel charge pump converter with Tunnel FET devices for ultra-low power energy harvesting sources,

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Comparison of TFETs and CMOS using optimal design points for power-speed trade-offs

Comparison of TFETs and CMOS using optimal design points for power-speed trade-offs Comparison of TFETs and CMOS using optimal design points for power-speed trade-offs Juan Núñez and María J. Avedillo Abstract Tunnel transistors are one of the most attractive steep subthreshold slope

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs

Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs P.Palestri, S.Strangio, F.Settino, F.Crupi*, D.Esseni, M.Lanuzza*, L.Selmi IUNET-University of Udine, * IUNET-University of

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

Design of Gate-All-Around Tunnel FET for RF Performance

Design of Gate-All-Around Tunnel FET for RF Performance Drain Current (µa/µm) International Journal of Computer Applications (97 8887) International Conference on Innovations In Intelligent Instrumentation, Optimization And Signal Processing ICIIIOSP-213 Design

More information

A Novel Si-Tunnel FET based SRAM Design for Ultra Low-Power 0.3V V DD Applications

A Novel Si-Tunnel FET based SRAM Design for Ultra Low-Power 0.3V V DD Applications A Novel Si-Tunnel FET based SRAM Design for Ultra Low-Power.3V V DD Applications J. Singh, K. Ramakrishnan, S. Mookerjea, S. Datta, N. Vijaykrishnan, D. Pradhan Department of Computer Science, University

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS B. Lakshmi 1 and R. Srinivasan 2 1 School of Electronics Engineering, VIT University, Chennai,

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations Ayan Paul, Chaitanya Kshirsagar, Sachin S. Sapatnekar, Steven Koester and Chris H. Kim Electrical and

More information

The Pennsylvania State University. Graduate School. Department of Computer Science Engineering

The Pennsylvania State University. Graduate School. Department of Computer Science Engineering The Pennsylvania State University Graduate School Department of Computer Science Engineering A HIGH-EFFICIENCY SWITCHED-CAPACITANCE HTFET CHARGE PUMP FOR LOW-INPUT-VOLTAGE APPLICATIONS A Thesis in Computer

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

A High-Efficiency Switched-Capacitance HTFET Charge Pump For Low-Input-Voltage Applications

A High-Efficiency Switched-Capacitance HTFET Charge Pump For Low-Input-Voltage Applications 215 28th International Conference 215 on 28th VLI International esign and Conference 215 14th International VLI esign Conference on Embedded ystems A High-Efficiency witched-capacitance HTFET Charge Pump

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits MOSFETs Sections of Chapter 3 &4 A. Kruger MOSFETs, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width = 1 10-6 m or less Thickness = 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

Design of Tunnel FET and its Performance characteristics with various materials

Design of Tunnel FET and its Performance characteristics with various materials Design of Tunnel FET and its Performance characteristics with various materials 1 G.SANKARAIAH, 2 CH.SATHYANARAYANA 1 PG Student Sreenidhi Institute of Science and Technology, 2 Assistant Professor 1,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Jie Min 1, Peter Asbeck UCSD 1 Present address: Global Foundries, Santa Clara, CA Schematic TFET Structures Based on

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Working with ADCs, OAs and the MSP430

Working with ADCs, OAs and the MSP430 Working with ADCs, OAs and the MSP430 Bonnie Baker HPA Senior Applications Engineer Texas Instruments 2006 Texas Instruments Inc, Slide 1 Agenda An Overview of the MSP430 Data Acquisition System SAR Converters

More information

RECENTLY, interband tunnel field-effect transistors

RECENTLY, interband tunnel field-effect transistors 2092 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 9, SEPTEMBER 2009 Effective Capacitance and Drive Current for Tunnel FET (TFET) CV/I Estimation Saurabh Mookerjea, Student Member, IEEE, Ramakrishnan

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Dr. Soumya Pandit Institute of Radio Physics and Electronics University of

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Robust 6T Si tunneling transistor SRAM design

Robust 6T Si tunneling transistor SRAM design Robust 6T Si tunneling transistor SRAM design Xuebei Yang and Kartik Mohanram Department of Electrical and Computer Engineering, Rice University, Houston xbyang@rice.edu kmram@rice.edu Abstract SRAMs based

More information

Circuit Seed Overview

Circuit Seed Overview Planting the Future of Electronic Designs Circuit Seed Overview Circuit Seed is family of inventions that work together to process analog signals using 100% digital parts. These are digital circuits and

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

RF Noise Simulation for Submicron MOSFET s Based on Hydrodynamic Model

RF Noise Simulation for Submicron MOSFET s Based on Hydrodynamic Model RF Noise Simulation for Submicron MOSFET s Based on Hydrodynamic Model Jung-Suk Goo, Chang-Hoon Choi, Eiji Morifuji, Hisayo Sasaki Momose, Zhiping Yu, Hiroshi Iwai, Thomas H. Lee, and Robert W. Dutton,

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model Week 9a OUTLINE MOSFET I vs. V GS characteristic Circuit models for the MOSFET resistive switch model small-signal model Reading Rabaey et al.: Chapter 3.3.2 Hambley: Chapter 12 (through 12.5); Section

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Institute of Semiconductor Electronics RWTH Aachen University Sommerfeldstraße 24 52074 Aachen Outline MOSFETs Operational

More information

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective Institute of Integrated Sensor Systems Dept. of Electrical Engineering and Information Technology Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Memisevic, Elvedin; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson, Lars-Erik Published in: IEEE Electron

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Low Voltage SC Circuit Design with Low - V t MOSFETs

Low Voltage SC Circuit Design with Low - V t MOSFETs Low Voltage SC Circuit Design with Low - V t MOSFETs Seyfi S. azarjani and W. Martin Snelgrove Department of Electronics, Carleton University, Ottawa Canada K1S-56 Tel: (613)763-8473, E-mail: seyfi@doe.carleton.ca

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network Internatıonal Journal of Natural and Engineering Sciences 7 (2): 38-42, 213 ISSN: 137-1149, E-ISSN: 2146-86, www.nobel.gen.tr An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

More information

Lecture Wrap up. December 13, 2005

Lecture Wrap up. December 13, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 26 1 Lecture 26 6.012 Wrap up December 13, 2005 Contents: 1. 6.012 wrap up Announcements: Final exam TA review session: December 16, 7:30 9:30

More information

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

d. Can you find intrinsic gain more easily by examining the equation for current? Explain. EECS140 Final Spring 2017 Name SID 1. [8] In a vacuum tube, the plate (or anode) current is a function of the plate voltage (output) and the grid voltage (input). I P = k(v P + µv G ) 3/2 where µ is a

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Lecture 4 -- Tuesday, Sept. 19: Non-uniform injection and/or doping. Diffusion. Continuity/conservation. The five basic equations.

Lecture 4 -- Tuesday, Sept. 19: Non-uniform injection and/or doping. Diffusion. Continuity/conservation. The five basic equations. 6.012 ELECTRONIC DEVICES AND CIRCUITS Schedule -- Fall 1995 (8/31/95 version) Recitation 1 -- Wednesday, Sept. 6: Review of 6.002 models for BJT. Discussion of models and modeling; motivate need to go

More information