22FDX TM Enabling IoT Growth. Tim Dry, (for Jamie Schaeffer, Ph.D). Leading Edge Product Line Management GLOBALFOUNDRIES

Size: px
Start display at page:

Download "22FDX TM Enabling IoT Growth. Tim Dry, (for Jamie Schaeffer, Ph.D). Leading Edge Product Line Management GLOBALFOUNDRIES"

Transcription

1 22FDX TM Enabling IoT Growth Tim Dry, (for Jamie Schaeffer, Ph.D). Leading Edge Product Line Management GLOBALFOUNDRIES

2 The First Truly Global Foundry East Fishkill, New York Malta, New York Burlington, Vermont Dresden, Germany Singapore 5 Manufacturing Centers on 3 Continents 2

3 Company Highlights REVENUE MORE THAN ~6B* 25,000 2nd Largest Foundry Patents & Applications 250 Customers 18,000 Employees FAB LOCATIONS FAB CAPACITY Burlington East Fishkill Malta Dresden 300mm 200mm Singapore Trusted Foundry 200K Wafers/Mo 133K Wafers/Mo *Based upon analysts estimates 3

4 What Will it Take to Make IoT Take Off? Typical IoT Edge Node Chipset Power Cost Integration Security Today: 20+ components on MCM/PCB Future IoT Edge Nodes GLOBALFOUNDRIES 4

5 IoT Edge Nodes 2015 Specification Low-End Low MCU, Analog Mid-Range Mid/High-end MCU High-End MPU Examples Smart lighting, Building sensors Smart meters, Health and fitness monitors Smart glasses, Smart Watches Primary Requirements Cost Power Power Performance Cost Performance Power Cost Processing Requirements Low end <24MHz e.g. 8 bit, ARM CM0, ARC APEX Mid end <240MHz e.g. 16/32bit ARM CM0, 4F, 7, MIPs, ARC High end <1.5Ghz e.g. 32/64bit ARM CM A7, MIPS, HMI/Image processing Memory OTP/MTP/eNVM/SRAM <128Kbyte Flash envm 128K to 4MByte Flash External NOR, NAND Flash and DDR Memory Connectivity /Thread/ BT Smart/ <1GHz /ZigBee / BT Smart/<1GHz 3G/4G/LTE, WiFi / BT / (Off-chip 2015) Power Active: 50uA/MHz Sleep: 1uA (tbc) Stop: 10nA (tbc) Active: 350uA/MHz (CPU) Sleep: 10uA Stop: 300nA Battery life years Smoke detector Gas Meter 10years Wristband 3 days Active: 500uA/MHz Sleep: 100uA Stop: 500nA Smart watch 4 days GLOBALFOUNDRIES Confidential 5

6 IoT Edge Nodes 2015 Specification Low-End Low MCU, Analog Mid-Range Mid/High-end MCU High-End MPU Examples Smart lighting, Building sensors Smart meters, Health and fitness monitors Smart glasses, Smart Watches Primary Requirements Cost Power Power Performance Cost Performance Power Cost Processing Requirements Low end <24MHz e.g. 8 bit, ARM CM0, ARC APEX Mid end <240MHz e.g. 16/32bit ARM CM0, 4F, 7, MIPs, ARC High end <1.5Ghz e.g. 32/64bit ARM CM A7, MIPS, HMI/Image processing Memory OTP/MTP/eNVM/SRAM <128Kbyte Flash envm 128K to 4MByte Flash External NOR, NAND Flash and DDR Memory Connectivity /Thread/ BT Smart/ <1GHz /ZigBee / BT Smart/<1GHz 3G/4G/LTE, WiFi / BT / (Off-chip 2015) Power Active: 50uA/MHz Sleep: 1uA (tbc) Stop: 10nA (tbc) Active: 350uA/MHz (CPU) Sleep: 10uA Stop: 300nA Battery life years Smoke detector Gas Meter 10years Wristband 3 days Active: 500uA/MHz Sleep: 100uA Stop: 500nA Smart watch 4 days GLOBALFOUNDRIES Confidential 6

7 IoT Edge Nodes 2015 Specification Low-End Low MCU, Analog Mid-Range Mid/High-end MCU High-End MPU Examples Smart lighting, Building sensors Smart meters, Health and fitness monitors Smart glasses, Smart Watches Primary Requirements Cost Power Power Performance Cost Performance Power Cost Processing Requirements Low end <24MHz e.g. 8 bit, ARM CM0, ARC APEX Mid end <240MHz e.g. 16/32bit ARM CM0, 4F, 7, MIPs, ARC High end <1.5Ghz e.g. 32/64bit ARM CM A7, MIPS, HMI/Image processing Memory OTP/MTP/eNVM/SRAM <128Kbyte Flash envm 128K to 4MByte Flash External NOR, NAND Flash and DDR Memory Connectivity /Thread/ BT Smart/ <1GHz /ZigBee / BT Smart/<1GHz 3G/4G/LTE, WiFi / BT / (Off-chip 2015) Power Active: 50uA/MHz Sleep: 1uA (tbc) Stop: 10nA (tbc) Active: 350uA/MHz (CPU) Sleep: 10uA Stop: 300nA Battery life years Smoke detector Gas Meter 10years Wristband 3 days Active: 500uA/MHz Sleep: 100uA Stop: 500nA Smart watch 4 days GLOBALFOUNDRIES Confidential 7

8 Connected Edge Node MCU + RF Mid/High End Big Little: Big: M4F/7F Little: M0+ On Chip Oscillator Fast wake Clock trees On chip Power supplies to Power Islands. In conjunction with NoC and clocks Sensor hub with always listening sensor interface that runs at low power while rest of system asleep, and wakes up system on correct events CM0+ Peripherals, GPIO Clocks, OCO Power modes PMIC [DC-DC, LDOs] Power Islands Network-on-Chip (NOC) Always listening CM4/7F Peripherals, GPIO Sensor Hub Multi-Standard Radio(s) BT Smart, envm, MTP Density, speed, reliability, duration LV SRAM Customer Own IP HMI: Audio Touch Display Color TFT LCD Security Authorization, Crypto, Unique ID, Key gen and vault, Enabling Low power November 14, 2015 FIFO PHY Low Voltage SRAM. Low leakage Retention area/ NoC Reduced Total System power allows more power for Transmit and Receive, enabling better Link budget and robust communications

9 Total System Power Active and Standby HW accelerators, intelligent peripherals, offload engines and sensor hubs Fast wake up OCO Faster process time Reduce Vdd Power modes Retain SRAM Low leakage memories Reduce static power Reduce RF power 6/11/2015 Tim Dry 9

10 Varying Requirements of IoT Edge Nodes Duty Cycle High Standby: 5% Active: 95% High Wireless Smart Meters Wildlife Camera Edge Gateways High-end Wearables 28nm Super low power High Performance Low Dynamic Power Processing Performance Low Smart Lighting Building Sensors Home Automation 55, 40, 28nm Ultra low power Low-end Wearables Drones, Robotics, 3D Printing Health and Fitness Monitors IP Security Cameras Trackers (Asset, People..) Active: 17% 55nm, 40nm Ultra low leakage High Duty Cycle Low Static Power Standby: 83% Sleepy Duty Cycle Chatty Duty Cycle Low GLOBALFOUNDRIES Confidential 10

11 Introducing 22FDX Platform Industry s first 22nm fully-depleted silicon-on-insulator (FD-SOI) technology Delivers FinFET-like performance and power-efficiency at 28nm cost Ultra-lower power consumption with 0.4 volt operation Software-controlled transistor bodybiasing for flexible trade-off between performance and power Integrated RF for reduced system cost and back-gate feature to reduce RF power up to ~50% Enables applications across mobile, IoT and RF markets Fully Depleted Channel for Low Leakage Ultra-thin Buried Oxide Insulator FD-SOI Planar process similar to bulk 70% lower power than 28HKMG 20% smaller die than 28nm bulk planar 20% lower die cost than 16/14nm GLOBALFOUNDRIES 11

12 Body-Biasing Provides Greatest Design Flexibility Forward BB (FBB) enables low voltage operation down to 0.4v without speed loss Reverse BB (RBB) enables low leakage down to 1pA/micron Dynamic body biasing enables active tradeoff of performance vs. power Improve within die or die-to-die uniformity Post-Silicon Tuning/Trimming -2V to +2V Body-Biasing GLOBALFOUNDRIES Confidential 12

13 Body-Biasing Enables Power/Performance Trade-off Leakage Power Maximum Performance Operating Mode Forward Body Bias (FBB) Reverse Body Bias (RBB) Minimum Leakage In Standby Mode Max Frequency GLOBALFOUNDRIES Confidential 13

14 Body-Bias Provides a New Dimension to Optimize for Power and Performance 100 Relative Leakage Power Best perf./watt 1x F max Vdd-100mV Lowest total power 0.5x Fmax mV Vt Rev Body-Bias -60mV Vt Fwd Body-Bias No BB 1x F max Vdd+100mV Best performance 1.6x F max Relative Active Power

15 22FDX Benefits RF Applications RF/Analog designers use Gate Length (Lg) greater than Lg (min) to improve matching and gain. FD device gives higher self gain than bulk at the same Lg FD enables shorter (20nm) Lg that increases gm and f T performance HKMG enables low Tinv and high channel charge Planar structure allows for lower Rsd and Rg compared to Finfet Local Back Gate bias give dynamic control of threshold voltage for innovative circuits SOI structure allows more flexible layout reducing overall parasitism at larger pitch The plot indicates that 22FDX has superior self gain and higher f T than 28nm bulk at larger Lg from nm. (For a Gm/I =15, a moderate inversion) GLOBALFOUNDRIES Confidential 15

16 RF Circuit Benefits for 22FDX Reverse-back-gate biasing optimizes gain efficiency while maintaining dynamic range Conventional approach (non IMG) Approach w/ IMG 22FDX is an Independent-Multi-Gate (IMG) Technology Back-gate utilized to optimize bias current and transconductance Free up front-gate voltage for signal path dynamic range Eliminate bias circuitry losses of single-gate technologies such as bulk and FinFET GLOBALFOUNDRIES Confidential 16

17 22FDX Base Platform and Extensions 22FDX Base Platform 4 Core Vts (FBB & RBB) 2 IO 1.2/1.5/1.8v Passives SRAMs (HD, HC, LV, ULV, TP) 8T/12T libraries IP solutions for IoT, Mobile, and RF Software controlled Fwd/ Rev body-bias Base platform PDK & IP -ulp adds logic libraries and memory compiler optimized for 0.4v logic operation -ull adds devices, libraries, and memory compilers to achieve 1pA/um leakage -uhp adds optimized BEOL stacks, 12T libraries optimized at OD, high-speed SERDES (16/28GHz), and MIM capacitor -rfa adds RF enablement, BEOL passives, and IP for BTLE, WiFi Application-optimized extensions GLOBALFOUNDRIES Confidential 17

18 22FDX TM Offers 3 Types of Transistors, Optimized for Performance vs. Power SLVT/LVT Lowest V T Optimized for FBB Highest performance ULL Adds triple gate oxide layer Longer gate length Coupled with RBB achieves 1pA/um leakage Relative Fmax RVT/HVT Mid-range V T Optimized for RBB Balance of low leakage and high performance GLOBALFOUNDRIES Confidential 18

19 22FDX Provides FinFET-like Power Efficiency Forward Body-Bias (FBB) Extends FD-SOI Flexibility Freq. (normalized) 1.80 Frequency vs. Total Power % Faster 50% Less Power 22FDX 50% lower power at same frequency 40% faster performance at same power % Faster 50% Less Power 28HKMG Same performance at lower Vdd FBB Advantage: Software-controlled body-bias enables dynamic tradeoffs between power, performance and leakage RO-Based Metric: INV2, NAND2x1, NOR2x1, NAND3x1 (each one has its own RO) Wire load is added in each stage of RO (FO = 3) Delay / Iddq is estimated by taking weighted average of 4 ROs Delay/Iddq metric = (0.4*INV+0.2*NAND2+0.2*NOR2+0.2*NAND3) Iddq / Delay is tt, 25C 70% dynamic and 30% Static Power for Total Power estimation. Total Power (normalized) GLOBALFOUNDRIES 19

20 IoT Example: Remote Security Camera Application Optimize Standby and Dynamic Power Integrated RF FBB for lowest dynamic power Wakes up comms to transmit message Wireless Comms High Performance Application Processor Wakes up Image Processor to zoom in and analyze potential threat Watchdog Processor RBB for lowest leakage Detects motion 22FDX die 22FDX Delivers: 10x lower static power w/ Reverse body-bias Up to 92% lower active power with forward body-bias RF integration for reduced BOM cost and 50% lower power GLOBALFOUNDRIES Confidential 20

21 FDSOI Case Study Smart Watch Next Generation Device Specification CPU Freq GHz Vdd 0.6v SRAM up to 16Mb -25C to +85C Integration Path: BLE, WiFi, PMIC Ingenic 40nm Device today Smart Watch User Case 40LP 28SLP FinFet FD FD+FBB FD+FBB +BLE ISO Freq ISO power mw/day (active and static) Battery Life (Days) Battery Life ISO FD+FBB +BLE+WiFi Battery life increases from 4.5 to 14+ days. GLOBALFOUNDRIES 21

22 22FDX Lower Power on ARM M % power reduction -85% area reduction % power reduction -40% area reduction T-1.2v 9T-1.1v 9T-1.0v 8T-0.8v 0.14 Dynamic Power Std Cell Area GF55LP GF40LP GF28SLP 22FDX Source: VeriSilicon Timing signoff 100MHz under SS corner Leakage/Dynamic typical corner 25C Zero body bias on 28/22FD FDSOI has significant PPA advantage over bulk on low-end M0 applications GLOBALFOUNDRIES Confidential 22

23 Varying Requirements of IoT Edge Nodes Duty Cycle High Standby: 5% Active: 95% High Wireless Smart Meters Wildlife Camera Edge Gateways High-end Wearables 28nm Super low power High Performance Low Dynamic Power Processing Performance Low Smart Lighting Building Sensors Home Automation 55, 40, 28nm Ultra low power Low-end Wearables Drones, Robotics, 3D Printing Health and Fitness Monitors IP Security Cameras Trackers (Asset, People..) Active: 17% 55nm, 40nm Ultra low leakage High Duty Cycle Low Static Power Standby: 83% Sleepy Duty Cycle Chatty Duty Cycle Low GLOBALFOUNDRIES Confidential 23

24 Easy Design Migration from Bulk to 22FDX 22FDX Digital Design Flow Design Planning (FBB vs RBB) Library Char + POCV/ LVF variability RTL Synthesis Cell placement + Tapcell Placement + CTS pre-route Routing Optimization UPF Connectivity Leakage recovery w/ Vt swapping + Lgate optimization Sign-Off PEX/STA (+DPT extraction) Physical Verification + EMIR Lib char with BB (Added corners) Implant-aware + CNRX Placement Tapcell connections (BB mesh + HV rules) Optional: use FBB/RBB performance/power optimization Optional: Add sign-off corners for dynamic BB variables (PVTB) Digital Design Flow is similar to bulk digital design flow The differences are taken care of in our Reference Flow releases Reference flow is test-chip proven and available today Test Chip Proven In-Design Modules (DRC + PM + MetalFill + EMIR) Bulk Flow New Step for 22FDX GLOBALFOUNDRIES 24

25 22FDX has lower process complexity and faster cycle times than FinFET technology Process Complexity (a.u.) 28Poly/SiON 22FDX FinFET Far_BEOL BEOL MOL RMG Post_Gate Gate Wells Active Fin 22FDX has 50% fewer immersion lithography steps than FinFET Fewer Well and Post-Gate process steps than 28nm Poly/SiON Avoids complex Fin, Post-gate, RMG, MOL, and BEOL integration in FinFET GLOBALFOUNDRIES Confidential 25

26 22FDX Ecosystem Expanding Design Services EDA IP Industry Groups Supply Chain GLOBALFOUNDRIES Confidential 26

27 Summary Industry's first 22nm FD-SOI platform Enables FinFET-like performance and power efficiency at 28nm cost Provides design flexibility and intelligent control Optimized for Mobile, Consumer, IoT and RF GLOBALFOUNDRIES 27

28 Thank-you Learn more at GLOBALFOUNDRIES.com 2013 GLOBALFOUNDRIES Inc. All rights reserved.

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Entering FD-SOI Era Using GLOBALFOUNDRIES 22FDX Technology

Entering FD-SOI Era Using GLOBALFOUNDRIES 22FDX Technology Entering FD-SOI Era Using GLOBALFOUNDRIES 22FDX Technology Ease of Design Combined with Tunable Performance/Power Optimization Presenter: Tamer Ragheb Authors: Stefan Block, Wolfgang Daub, Juergen Dirks,

More information

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team Characterization and Variation Modeling for 22FDX Ning Jin Digital Design Methodology Team Agenda 1 2 3 4 Introduction to 22FDX Technology Library Characterization in Liberate and Variety Library Characterization

More information

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY Leading at the edge 22FFL technology MARK BOHR Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration Disclosures Intel Technology and Manufacturing Day

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN

STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN Introduction Standard-cell library offering is usually divided in three categories: 6/7-track library for cost driven requirements, 8/9-track library

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Agenda Motivation A New Paradigm Dial Technology Chip Architecture Measured Results Sensor Reference Design 2 Deploying Billions

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Advanced Techniques for Using ARM's Power Management Kit

Advanced Techniques for Using ARM's Power Management Kit ARM Connected Community Technical Symposium Advanced Techniques for Using ARM's Power Management Kit Libo Chang( 常骊波 ) ARM China 2006 年 12 月 4/6/8 日, 上海 / 北京 / 深圳 Power is Out of Control! Up to 90nm redu

More information

Low Power Microphone Acquisition and Processing for Always-on Applications Based on Microcontrollers

Low Power Microphone Acquisition and Processing for Always-on Applications Based on Microcontrollers Low Power Microphone Acquisition and Processing for Always-on Applications Based on Microcontrollers Architecture I: standalone µc Microphone Microcontroller User Output Microcontroller used to implement

More information

White Paper Kilopass X2Bit bitcell: OTP Dynamic Power Cut by Factor of 10

White Paper Kilopass X2Bit bitcell: OTP Dynamic Power Cut by Factor of 10 White Paper Kilopass X2Bit bitcell: OTP Dynamic Power Cut by Factor of 10 November 2015 Of the challenges being addressed by Internet of Things (IoT) designers around the globe, none is more pressing than

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

SOI technology platforms for 5G: Opportunities of collaboration

SOI technology platforms for 5G: Opportunities of collaboration SOI technology platforms for 5G: Opportunities of collaboration Dr. Ionut RADU Director, R&D SOITEC MOS AK workshop, Silicon Valley December 6th, 2017 Sourcing value from substrate Robert E. White ISBN-13:

More information

Power Management in modern-day SoC

Power Management in modern-day SoC Power Management in modern-day SoC C.P. Ravikumar Texas Instruments, India C.P. Ravikumar, IIT Madras 1 Agenda o Motivation o Power Management in the Signal Chain o Low-Power Design Flow Technological

More information

AI Application Processing Requirements

AI Application Processing Requirements AI Application Processing Requirements 1 Low Medium High Sensor analysis Activity Recognition (motion sensors) Stress Analysis or Attention Analysis Audio & sound Speech Recognition Object detection Computer

More information

32-bit ARM Cortex-M0, Cortex-M3 and Cortex-M4F microcontrollers

32-bit ARM Cortex-M0, Cortex-M3 and Cortex-M4F microcontrollers -bit ARM Cortex-, Cortex- and Cortex-MF microcontrollers Energy, gas, water and smart metering Alarm and security systems Health and fitness applications Industrial and home automation Smart accessories

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

MEMS Oscillators: Enabling Smaller, Lower Power IoT & Wearables

MEMS Oscillators: Enabling Smaller, Lower Power IoT & Wearables MEMS Oscillators: Enabling Smaller, Lower Power IoT & Wearables The explosive growth in Internet-connected devices, or the Internet of Things (IoT), is driven by the convergence of people, device and data

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Experiences and Benefits of 16nm and 10nm FinFET Development

Experiences and Benefits of 16nm and 10nm FinFET Development Experiences and Benefits of 16nm and 10nm FinFET Development Jeff Galloway, Paweł Banachowicz, Michael Kroger, Brian Eplett, Andrew Cole, Randy Caplan Silicon Creations Process Experience Silicon Creations

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

Microprocessor Design in the Nanoscale Era

Microprocessor Design in the Nanoscale Era Microprocessor Design in the Nanoscale Era Stefan Rusu Senior Principal Engineer Intel Corporation IEEE Fellow stefan.rusu@intel.com 2012 Stefan Intel Rusu Corporation July 2012 1 Agenda Microprocessor

More information

SNIOT702 Specification. Version number:v 1.0.1

SNIOT702 Specification. Version number:v 1.0.1 Version number:v 1.0.1 Catelog 1 Product introduction... 1 1.1 Product introduction... 1 1.2 Product application... 1 1.3 Main characteristics... 2 1.4 Product advantage... 3 2 Technical specifications...

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

High Temperature Mixed Signal Capabilities

High Temperature Mixed Signal Capabilities High Temperature Mixed Signal Capabilities June 29, 2017 Product Overview Features o Up to 300 o C Operation o Will support most analog functions. o Easily combined with up to 30K digital gates. o 1.0u

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Intelligent and passive RFID tag for Identification and Sensing

Intelligent and passive RFID tag for Identification and Sensing Zürich University Of Applied Sciences Institute of Embedded Systems InES Intelligent and passive RFID tag for Identification and Sensing (Presented at Embedded World, Nürnberg, 3 rd March 2009) Dipl. Ing.

More information

Alberto Scandurra Microcontrollers, Memories and Secure microcontrollers Microcontrollers Division Senior Member of Technical Staff

Alberto Scandurra Microcontrollers, Memories and Secure microcontrollers Microcontrollers Division Senior Member of Technical Staff 1. Sistemi di comunicazione per SoC per applicazioni Consumer 2. Interconnessioni ottiche on-chip 3. Gestione di Power, Reset e Clock in microcontrollori Alberto Scandurra Microcontrollers, Memories and

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V)

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V) SPECIFICATION 1 FEATURES Global Foundries CMOS 55 nm Low drop out Low current consumption Two modes operations: Normal, Economy Mode operation Bypass No discrete filtering capacitors required (cap-less

More information

RF4432 wireless transceiver module

RF4432 wireless transceiver module 1. Description www.nicerf.com RF4432 RF4432 wireless transceiver module RF4432 adopts Silicon Lab Si4432 RF chip, which is a highly integrated wireless ISM band transceiver. The features of high sensitivity

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

12-Bit 1-channel 4 MSPS ADC

12-Bit 1-channel 4 MSPS ADC SPECIFICATION 1 FEATURES 12-Bit 1-channel 4 MSPS ADC TSMC CMOS 65 nm Resolution 12 bit Single power supplies for digital and analog parts (2.5 V) Sampling rate up to 4 MSPS Standby mode (current consumption

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

Trends in Analog/Mixed-Signal Products & Technology and Challenges for Design

Trends in Analog/Mixed-Signal Products & Technology and Challenges for Design Trends in Analog/Mixed-Signal Products & Technology and Challenges for Design Tim Kalthoff Chief Technologist, High Performance Analog Division October 2012 Symbiotic Society Drivers For The Future Personal

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Power Management Unit

Power Management Unit SPECIFICATION 1 FEATURES ihp SG25H4 SiGe BiCMOS 0.25 um Bandgap voltage source 1.12 V Constant current source 500 Hz to 140 khz frequency generator Standby mode Supported foundries: TSMC, UMC, Global Foundries,

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

The Mote Revolution: Low Power Wireless Sensor Network Devices

The Mote Revolution: Low Power Wireless Sensor Network Devices The Mote Revolution: Low Power Wireless Sensor Network Devices University of California, Berkeley Joseph Polastre Robert Szewczyk Cory Sharp David Culler The Mote Revolution: Low Power Wireless Sensor

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

MEMS Timing Technology: Shattering the Constraints of Quartz Timing to Improve Smartphones and Mobile Devices

MEMS Timing Technology: Shattering the Constraints of Quartz Timing to Improve Smartphones and Mobile Devices MEMS Timing Technology: Shattering the Constraints of Quartz Timing to The trends toward smaller size and increased functionality continue to dominate in the mobile electronics market. As OEMs and ODMs

More information

Product Design Methodology

Product Design Methodology Product Design Methodology 2018 Tokyo Christophe Tretz, Carlos Mazure 1 SOI Industry Consortium 2018 Agenda SOI Industry Consortium SoC design approach Design considerations Conclusions 2 SOI Industry

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

2.4GHz vs. Sub-GHz Markets, Applications & Key Decisions

2.4GHz vs. Sub-GHz Markets, Applications & Key Decisions www.silabs.com 2.4GHz vs. Sub-GHz Markets, Applications & Key Decisions Overview Many customers are trying to decide between 2.4 GHz or sub-ghz This presentation will define the key factors impacting a

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Circuit For Mems Application

Circuit For Mems Application A Low Voltage To High Voltage Level Shifter Circuit For Mems Application The level converter is used as interface between low voltages to high voltage B.M. A low voltage to high voltage level shifter circuit

More information

More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration?

More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration? More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration? Ravi Subramanian, Ph.D. Berkeley Design Automation, Inc. 2013 Berkeley Design Automation, Inc. 1 Outline Introduction Structural Shift

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

Introducing 10-nm FinFET technology in Microwind

Introducing 10-nm FinFET technology in Microwind Introducing 10-nm FinFET technology in Microwind Etienne Sicard To cite this version: Etienne Sicard. Introducing 10-nm FinFET technology in Microwind. This paper describes the implementation of a high

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Bluetooth Low Energy. Description. Features. Deliverables RF PHY IP

Bluetooth Low Energy. Description. Features. Deliverables RF PHY IP Description Celfras BLE provides a high performance, low power, low cost Bluetooth Low Energy (BLE) radio transceiver solution. The BLE 4.2 compliant IP is tested, qualified, and listed as Declaration

More information

FD-SOI Technology. Bich-Yen Nguyen Soitec

FD-SOI Technology. Bich-Yen Nguyen Soitec FD-SOI Technology Bich-Yen Nguyen Soitec Agenda 1 FD-SOI technology overview 2 Markets, foundries offers & ecosystems 3 FD-SOI material & roadmap 4 Summary 10/10/2017 2 SOITEC Confidential FD-SOI technology

More information

Impact of Leakage on IC Testing?

Impact of Leakage on IC Testing? Deep Sub-micron Test: High Leakage Current and Its Impact on Test; Cross-talk Noise Kaushik Roy Electrical & Computer Engineering Purdue University Impact of Leakage on IC Testing? Our Focus Higher intrinsic

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information