Outline. Background of Analog Functional Testing. Phase Delay in Multiplier/Accumulator (MAC)-based ORA

Size: px
Start display at page:

Download "Outline. Background of Analog Functional Testing. Phase Delay in Multiplier/Accumulator (MAC)-based ORA"

Transcription

1 Phase Delay Measurement and Calibration in Built-In Analog Functional Testing Jie Qin, Charles Stroud, and Foster Dai Dept. of Electrical & Computer Engineering Auburn University

2 Outline Background of Analog Functional Testing Built-In Self-Test Architecture Phase Delay in Multiplier/Accumulator (MAC)-based ORA Experimental Results Conclusions 3/5/07 IEEE Southeastern Symp. on System Theory 2

3 Background - Frequency Response Measurement Almost the most popular and important analog functional measurement Can be performed through one-tone test Generate a tone to stimulate the device under test (DUT) Monitor the output and perform spectrum analysis Sweep the tone over the whole interested band Magnitude Amplifier Transfer Function Test tones generated using DDS Frequency 3/5/07 IEEE Southeastern Symp. on System Theory 3

4 Background Nonlinearity Measurement Third-order intercept point (IP3) is one of the most important nonlinearity measures Can be measured through a two-tone tone test f 1 f 2 Generate two tones with close frequency spacing to stimulate the device under test (DUT) Monitor the output of the DUT and perform spectrum analysis 3 4 ω1 2 ω1 2 2 ω α1a f 1 f 2 f 2 - f 1 f 1 +f 2 2f 1 - f 2 2f 2 - f 1 2f 1 2f 2 α 1 ω A P α3a 2 α3a 2ω ω f 1 3f 2 1 Freq 7 8 freq freq 3/5/07 IEEE Southeastern Symp. on System Theory 4

5 Background Noise Measurement Noise Figure (NF) is a measure of the noise generated by a device itself Defined as the ratio of the input signal-to to-noise ratio (SNR( in ) to output SNR SNR out Can be measured through a one-tone SNR measurement Generate a tone to activate the DUT Monitor the output of the DUT at the whole interested band The noise level can be obtained with the signal level as a reference point 3/5/07 IEEE Southeastern Symp. on System Theory 5

6 Background Design Goals for BIST Goals for mixed-signal BIST Extract the frequency spectrum information from DUT response for Frequency Response Nonlinearity Measurement Noise Measurement Implementation using simple circuitry Small area penalty Minimal performance penalty to analog circuitry. Conventional way to perform spectrum analysis is FFT High area penalty High power consumption 3/5/07 IEEE Southeastern Symp. on System Theory 6

7 Background Basic Ideas of BIST Proposed BIST approach based Direct Digital Synthesizer (DDS)-based TPG Can generate various required waveforms Multiplier/accumulator (MAC)-based ORA Used for spectrum analysis Implemented in a much simpler, cheaper and more flexible circuit compared with the FFT-based ORA Everything is for simpler circuitry Only measure the spectrum at one frequency at a time Sweep the whole interested band to capture the complete spectrum 3/5/07 IEEE Southeastern Symp. on System Theory 7

8 Digital System Inputs Digital System Outputs BIST for Mixed-Signal Systems Digital circuitry tests analog circuitry BIST Start BIST Done Results Minimize impact to analog circuitry Use existing DAC/ADC in mixed-signal system System Function Mux DAC TPG ORA Test Control Digital Circuitry System ADC Function Analog Circuit Analog Circuitry Analog Circuit Analog MUX Analog System Outputs Analog System Inputs 3/5/07 IEEE Southeastern Symp. on System Theory 8

9 Built-In Self-Test Architecture Test Controller DAC Amp f 1, θ 1 NCO1 Sin(2πf 1 nt clk +θ 1 ) f 2, θ 2 NCO2 Sin(2πf 2 nt clk +θ 2 ) Test Pattern Generator (TPG) MUX2 MUX1 f 1 (nt clk ) ADC MUX4 MUL1 f(nt clk ) MUX3 Accm1 DC 1 DUT Output Response Analyzer (ORA) f 3, θ 3 Sin(2πf 3 nt clk +θ 3 ) NCO3 f 2 (nt clk ) MUL2 Accm2 DC 2 3/5/07 IEEE Southeastern Symp. on System Theory 9

10 MAC-based ORA DC 1 and DC 2 accumulator values can be described as DC = f( nt ) cos( ωnt ) DC = f ( nt ) sin( ωnt ) 2 1 n n clk clk Signal f(nt clk ) Fourier Transform F(ω) can be expressed through DC 1 and DC 2 ( ) ( ) j ω ntclk j F ω = f nt e = DC( ω) + j DC ( ω) = A( ω) e n clk clk clk 1 2 Magnitude response A(ω) and phase delay ΔΦ(ω) A(ω) is the more important ΔΦ(ω) still has to be considered for accurate A(ω) φ( ω) 3/5/07 IEEE Southeastern Symp. on System Theory 10

11 Phase Delay in MAC-based ORA Phase delay measured by: φω ( ) = tg DC ( ω) DC ( ω) For on-chip test, full arctan look-up table (LUT) in the first quadrant can be used to calculate ΔΦ(ω) Absolute phase offset ΔΦ o (ω) can be calculated according to: 1 DC2 ( ω) tg DC1( ω) DC2( ω) DC1 ( ω) φo ( ω) = 1 DC1 ( ω) tg DC1( ω) DC2( ω) DC2 ( ω) 3/5/07 IEEE Southeastern Symp. on System Theory 11

12 Phase Delay in MAC-based ORA (cont.) Phase delay can be determined through absolute phase offset Φ o (ω) according to following table: DC 1 2 >0 φ(ω) = φ ο (ω) DC 1 2 <0 φ(ω) =360 φ ο (ω) DC 1 2 >0 φ(ω) =180 φ ο (ω) DC 1 2 <0 φ(ω) =180 + φ ο (ω) DC 1 DC 2 DC 1 DC 2 φ(ω) =90 φ ο (ω) φ(ω) =270 + φ ο (ω) φ(ω) =90 + φ ο (ω) φ(ω) =270 φ ο (ω) The arctan LUT can be reduced by half since value range of ΔΦ o (ω) varies from 0 0 to 45 When DC 2 /DC 1 is very small, arctan(dc 2 /DC 1 ) can be approximated by DC 2 /DC 1 Size of arctan LUT can be compressed 3/5/07 IEEE Southeastern Symp. on System Theory 12

13 Phase Delay in MAC-based ORA (cont.) Once phase delay is obtained, magnitude response A(ω) can be calculated 3 different ways: Approach #1 A F e f nt nt j φω ( ) ( ω) = ( ω) = ( clk ) cos( ω clk φ( ω)) n Approach #2 Approach #3 DC1 DC 2 A( ω) = = cos φ ( ω) sin φ ( ω) 2 1 A ( ω ) = DC + DC 2 2 3/5/07 IEEE Southeastern Symp. on System Theory 13

14 Phase Delay in MAC-based ORA (cont.) Pros and cons of 3 approaches Approach # 1 # 2 # 3 Hardware overhead low higher highest Test time long short short Constraints cannot be used for SNR measurement none none Propagation error yes yes none 3/5/07 IEEE Southeastern Symp. on System Theory 14

15 Experimental Results I Phase delay introduced by digital portion of the BIST circuitry Bug in original implementation Actual measurements with BIST System Function TPG DAC ADC ORA to/from DUT phase error due to the delay in TPG phase error with delay removed 3/5/07 IEEE Southeastern Symp. on System Theory 15

16 Experimental Results II Phase delay introduced by DAC/ADC pair Phase delay accounts for error in [18] F. Dai, C. Stroud, and D. Yang, Automatic Linearity and Frequency Response Tests with Built-in in Pattern Generator and Analyzer, IEEE Trans. on VLSI Systems., vol. 14, no. 6, pp , 572, System Function TPG DAC ADC to/from DUT ORA 3/5/07 IEEE Southeastern Symp. on System Theory 16

17 Experimental Result III FPGA resources used by the MAC-based ORA Increases linearly with M (# accumulator bits) Increases almost exponentially with N (# multiplier bits) Multipliers included in slice count for MAC # of accumulator bits, M Number of Slices for MAC # of multiplier bits, N Number of Slices for FFT Type # of slices # of bit multipliers Pipelined Burst I/O Minimum Resources /5/07 IEEE Southeastern Symp. on System Theory 17

18 Summary and Conclusions Phase delay is important to implementation and accuracy of MAC-based ORA Compared with FFT-based approaches MAC-based ORA can be realized using much more flexible and simpler BIST circuitry with less area penalty MAC frequency resolution can be easily tuned with step size of sweeping frequency MAC can measure spectrum information of interest at several frequency points, or in a narrow bandwidth 3/5/07 IEEE Southeastern Symp. on System Theory 18

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems George J. Starr, Jie Qin, Bradley F. Dutton, Charles E. Stroud, F. Foster Dai and Victor P. Nelson

More information

On-Chip Automatic Analog Functional Testing and Measurements

On-Chip Automatic Analog Functional Testing and Measurements On-Chip Automatic Analog Functional Testing and Measurements Chuck Stroud, Foster Dai, and Dayu Yang Electrical & Computer Engineering Auburn University from presentation to Select Universities Technology,

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

Outline. Motivation. Analog Functional Testing in Mixed-Signal Systems. Motivation and Background. Built-In Self-Test Architecture

Outline. Motivation. Analog Functional Testing in Mixed-Signal Systems. Motivation and Background. Built-In Self-Test Architecture Aalog Fuctioal Testig i Mixed-Sigal s Jie Qi Dept. of Electrical & Computer Egieerig Aubur Uiversity Co-Advisors: Charles Stroud ad Foster Dai Outlie Motivatio ad Backgroud Built-I Self-Test Architecture

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test. Michael Alexander Lusco

Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test. Michael Alexander Lusco Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test by Michael Alexander Lusco A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

DSP Based Corrections of Analog Components in Digital Receivers

DSP Based Corrections of Analog Components in Digital Receivers fred harris DSP Based Corrections of Analog Components in Digital Receivers IEEE Communications, Signal Processing, and Vehicular Technology Chapters Coastal Los Angeles Section 24-April 2008 It s all

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Pre-distortion. General Principles & Implementation in Xilinx FPGAs

Pre-distortion. General Principles & Implementation in Xilinx FPGAs Pre-distortion General Principles & Implementation in Xilinx FPGAs Issues in Transmitter Design 3G systems place much greater requirements on linearity and efficiency of RF transmission stage Linearity

More information

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC VDEC D2T Symposium Dec. 11 2009 Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC Haruo Kobayashi Gunma University k_haruo@el.gunma-u.ac.jp 1 Contents 1. Introduction 2. Review of Analog

More information

Direct Digital Synthesis Primer

Direct Digital Synthesis Primer Direct Digital Synthesis Primer Ken Gentile, Systems Engineer ken.gentile@analog.com David Brandon, Applications Engineer David.Brandon@analog.com Ted Harris, Applications Engineer Ted.Harris@analog.com

More information

Low-IMD Two-Tone Signal Generation for ADC Testing

Low-IMD Two-Tone Signal Generation for ADC Testing 18 th International Mixed-Signals, Sensors, and Systems Test Workshop May 15 2012 @ Taipei, Taiwan Low-IMD Two-Tone Signal Generation for ADC Testing K. Kato, F. Abe, K. Wakabayashi, T. Yamada, H. Kobayashi,

More information

RF/IF Terminology and Specs

RF/IF Terminology and Specs RF/IF Terminology and Specs Contributors: Brad Brannon John Greichen Leo McHugh Eamon Nash Eberhard Brunner 1 Terminology LNA - Low-Noise Amplifier. A specialized amplifier to boost the very small received

More information

Linearity Enhancement Algorithms for I-Q Signal Generation

Linearity Enhancement Algorithms for I-Q Signal Generation B6-1 10:15-10:45 Nov. 6, 2015 (Fri) 1 /55 Invited paper Linearity Enhancement Algorithms for I-Q Signal Generation - DWA and Self-Calibration Techniques - M. Murakami H. Kobayashi S. N. B. Mohyar T. Miki

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

THIS work focus on a sector of the hardware to be used

THIS work focus on a sector of the hardware to be used DISSERTATION ON ELECTRICAL AND COMPUTER ENGINEERING 1 Development of a Transponder for the ISTNanoSAT (November 2015) Luís Oliveira luisdeoliveira@tecnico.ulisboa.pt Instituto Superior Técnico Abstract

More information

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45 INF440 Noise and Distortion Jørgen Andreas Michaelsen Spring 013 1 / 45 Outline Noise basics Component and system noise Distortion Spring 013 Noise and distortion / 45 Introduction We have already considered

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A DSP-Based Ramp Test for On-Chip High-Resolution ADC

A DSP-Based Ramp Test for On-Chip High-Resolution ADC SUBMITTED TO IEEE ICIT/SSST A DSP-Based Ramp Test for On-Chip High-Resolution ADC Wei Jiang and Vishwani D. Agrawal Electrical and Computer Engineering, Auburn University, Auburn, AL 36849 weijiang@auburn.edu,

More information

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

Self Calibrated Image Reject Mixer

Self Calibrated Image Reject Mixer Self Calibrated Image Reject Mixer Project name: Self Calibrated Image Reject Mixer. Design number: 6313. Design password: Student names: Mostafa Elmala. Area: mm X mm. Technology: Technology is SCN4ME_SUBM,

More information

A Novel Low-Power High-Resolution ROM-less DDFS Architecture

A Novel Low-Power High-Resolution ROM-less DDFS Architecture A Novel Low-Power High-Resolution ROM-less DDFS Architecture M. NourEldin M., Ahmed Yahya Abstract- A low-power high-resolution ROM-less Direct Digital frequency synthesizer architecture based on FPGA

More information

A 14b 40Msample/s Pipelined ADC with DFCA

A 14b 40Msample/s Pipelined ADC with DFCA A 14b 40Msample/s Pipelined ADC with DFCA Paul Yu, Shereef Shehata, Ashutosh Joharapurkar, Pankaj Chugh, Alex Bugeja, Xiaohong Du, Sung-Ung Kwak, Yiannis Papantonopoulos, Turker Kuyel Texas Instruments,

More information

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS Report for ECE 4910 Senior Project Design DATA INTEGRATION IN MULTICARRIER REFLECTOMETRY SENSORS Prepared by Afshin Edrissi Date: Apr 7, 2006 1-1 ABSTRACT Afshin Edrissi (Cynthia Furse), Department of

More information

I-Q Signal Generation Techniques for Communication IC Testing and ATE Systems

I-Q Signal Generation Techniques for Communication IC Testing and ATE Systems 2016 IEEE International Test Conference I-Q Signal Generation Techniques for Communication IC Testing and ATE Systems M. Murakami, H. Kobayashi, S. N. B. Mohyar O. Kobayashi, T. Miki, J. Kojima Gunma University

More information

SAMPLING THEORY. Representing continuous signals with discrete numbers

SAMPLING THEORY. Representing continuous signals with discrete numbers SAMPLING THEORY Representing continuous signals with discrete numbers Roger B. Dannenberg Professor of Computer Science, Art, and Music Carnegie Mellon University ICM Week 3 Copyright 2002-2013 by Roger

More information

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 Many of these slides were provided by Dr. Sebastian Hoyos January 2019 Texas A&M University 1 Spring, 2019 Outline Fundamentals of Analog-to-Digital

More information

FPGA Based Mixed-Signal Circuit Novel Testing Techniques

FPGA Based Mixed-Signal Circuit Novel Testing Techniques FPGA Based Mixed-Signal Circuit Novel Testing Techniques Sotirios Pouros *, Vassilios Vassios *, Dimitrios Papakostas *, Valentin Hristov ** *1 Alexander Technological & Educational Institute of Thessaloniki,

More information

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 9 (2013), pp. 1109-1114 Research India Publications http://www.ripublication.com/aeee.htm Design of NCO by Using CORDIC

More information

Lab10: FM Spectra and VCO

Lab10: FM Spectra and VCO Lab10: FM Spectra and VCO Prepared by: Keyur Desai Dept. of Electrical Engineering Michigan State University ECE458 Lab 10 What is FM? A type of analog modulation Remember a common strategy in analog modulation?

More information

High-Speed Serial IO Testing: Jitter Extraction & Bit-Error Rate Estimation. Serial Signaling Speed Trend

High-Speed Serial IO Testing: Jitter Extraction & Bit-Error Rate Estimation. Serial Signaling Speed Trend High-Speed Serial IO Testing: Jitter Extraction & Bit-Error Rate Estimation K.-T. Tim Cheng Dept. of ECE University of California, Santa Barbara Serial Signaling Speed Trend 8/6/04 Bus Topologies 8/6/04

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

What s an Analog Signal?

What s an Analog Signal? What s an Analog Signal? Derived from the word analogous (analogous to the original signal) Our most powerful electronic systems are digital systems, e.g. computers, however, analog signals are required

More information

How do I optimize desired Amplifier Specifications?

How do I optimize desired Amplifier Specifications? How do I optimize desired Amplifier Specifications? PAE (accuracy

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM Rob Pelt Altera Corporation 101 Innovation Drive San Jose, California, USA 95134 rpelt@altera.com 1. ABSTRACT Performance requirements for broadband

More information

Communications IB Paper 6 Handout 2: Analogue Modulation

Communications IB Paper 6 Handout 2: Analogue Modulation Communications IB Paper 6 Handout 2: Analogue Modulation Jossy Sayir Signal Processing and Communications Lab Department of Engineering University of Cambridge jossy.sayir@eng.cam.ac.uk Lent Term c Jossy

More information

An ultra-high ramp rate arbitrary waveform generator for communication and radar applications

An ultra-high ramp rate arbitrary waveform generator for communication and radar applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 10 An ultra-high ramp rate arbitrary waveform generator for communication and radar applications Zhang De-ping a), Xie Shao-yi, Wang Chao, Wu Wei-wei,

More information

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM a FEATURES Low Power: 00 mw On-Chip T/H, Reference Single +5 V Power Supply Operation Selectable 5 V or V Logic I/O Wide Dynamic Performance APPLICATIONS Digital Communications Professional Video Medical

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

High-Frequency Low-Distortion Signal Generation Algorithm with AWG

High-Frequency Low-Distortion Signal Generation Algorithm with AWG High-Frequency Low-Distortion Signal Generation Algorithm with AWG Shohei Shibuya, Yutaro Kobayashi Haruo Kobayashi Gunma University 1/31 Research Objective 2/31 Objective Low-distortion sine wave generation

More information

9 Best Practices for Optimizing Your Signal Generator Part 2 Making Better Measurements

9 Best Practices for Optimizing Your Signal Generator Part 2 Making Better Measurements 9 Best Practices for Optimizing Your Signal Generator Part 2 Making Better Measurements In consumer wireless, military communications, or radar, you face an ongoing bandwidth crunch in a spectrum that

More information

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Ian Galton University of California at San Diego, La Jolla, CA INTEGRATED SIGNAL PROCESSING

More information

UWB Hardware Issues, Trends, Challenges, and Successes

UWB Hardware Issues, Trends, Challenges, and Successes UWB Hardware Issues, Trends, Challenges, and Successes Larry Larson larson@ece.ucsd.edu Center for Wireless Communications 1 UWB Motivation Ultra-Wideband Large bandwidth (3.1GHz-1.6GHz) Power spectrum

More information

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM a FEATURES Low Power: 1 mw @ 0 MSPS, mw @ 0 MSPS On-Chip T/H, Reference Single + V Power Supply Operation Selectable V or V Logic I/O SNR: db Minimum at MHz w/0 MSPS APPLICATIONS Medical Imaging Instrumentation

More information

Maximizing GSPS ADC SFDR Performance: Sources of Spurs and Methods of Mitigation

Maximizing GSPS ADC SFDR Performance: Sources of Spurs and Methods of Mitigation Maximizing GSPS ADC SFDR Performance: Sources of Spurs and Methods of Mitigation Marjorie Plisch Applications Engineer, Signal Path Solutions November 2012 1 Outline Overview of the issue Sources of spurs

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

Analog-Digital Interface

Analog-Digital Interface Analog-Digital Interface Tuesday 24 November 15 Summary Previous Class Dependability Today: Redundancy Error Correcting Codes Analog-Digital Interface Converters, Sensors / Actuators Sampling DSP Frequency

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description DS H01 The DS H01 is a high performance dual digital synthesizer with wide output bandwidth specially designed for Defense applications where generation of wideband ultra-low noise signals along with very

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers

6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers 6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Bandwidth Constraints

More information

MEDIUM SPEED ANALOG-DIGITAL CONVERTERS

MEDIUM SPEED ANALOG-DIGITAL CONVERTERS CMOS Analog IC Design Page 10.7-1 10.7 - MEDIUM SPEED ANALOG-DIGITAL CONVERTERS INTRODUCTION Successive Approximation Algorithm: 1.) Start with the MSB bit and work toward the LSB bit. 2.) Guess the MSB

More information

A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February :54

A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February :54 A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February 2009 09:54 The main focus of hearing aid research and development has been on the use of hearing aids to improve

More information

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03 Lecture 010 Introduction to Synthesizers (5/5/03) Page 010-1 LECTURE 010 INTRODUCTION TO FREQUENCY SYNTHESIZERS (References: [1,5,9,10]) What is a Synthesizer? A frequency synthesizer is the means by which

More information

Two-Tone Signal Generation for Communication Application ADC Testing

Two-Tone Signal Generation for Communication Application ADC Testing The 21 st Asian Test Symposium 2012 Toki Messe Niigata Convention Center, Niigata, Japan 21/Nov./2012 Two-Tone Signal Generation for Communication Application ADC Testing K. Kato, F. Abe, K. Wakabayashi,

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

DESCRIPTION OF THE OPERATION AND CALIBRATION OF THE MILLIMETER I/Q PHASE BRIDGE-INTERFEROMETER

DESCRIPTION OF THE OPERATION AND CALIBRATION OF THE MILLIMETER I/Q PHASE BRIDGE-INTERFEROMETER DESCRIPTION OF THE OPERATION AND CALIBRATION OF THE MILLIMETER I/Q PHASE BRIDGE-INTERFEROMETER Overview of Interferometer Operation The block diagram of the I/Q Phase Bridge-Interferometer is shown below

More information

UNIT-3. Electronic Measurements & Instrumentation

UNIT-3.   Electronic Measurements & Instrumentation UNIT-3 1. Draw the Block Schematic of AF Wave analyzer and explain its principle and Working? ANS: The wave analyzer consists of a very narrow pass-band filter section which can Be tuned to a particular

More information

Radio Receiver Architectures and Analysis

Radio Receiver Architectures and Analysis Radio Receiver Architectures and Analysis Robert Wilson December 6, 01 Abstract This article discusses some common receiver architectures and analyzes some of the impairments that apply to each. 1 Contents

More information

EECS 452 Midterm Exam Winter 2012

EECS 452 Midterm Exam Winter 2012 EECS 452 Midterm Exam Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Section I /40 Section II

More information

Summary Last Lecture

Summary Last Lecture EE47 Lecture 5 Pipelined ADCs (continued) How many bits per stage? Algorithmic ADCs utilizing pipeline structure Advanced background calibration techniques Oversampled ADCs Why oversampling? Pulse-count

More information

Understanding RF and Microwave Analysis Basics

Understanding RF and Microwave Analysis Basics Understanding RF and Microwave Analysis Basics Kimberly Cassacia Product Line Brand Manager Keysight Technologies Agenda µw Analysis Basics Page 2 RF Signal Analyzer Overview & Basic Settings Overview

More information

Pulsed VNA Measurements:

Pulsed VNA Measurements: Pulsed VNA Measurements: The Need to Null! January 21, 2004 presented by: Loren Betts Copyright 2004 Agilent Technologies, Inc. Agenda Pulsed RF Devices Pulsed Signal Domains VNA Spectral Nulling Measurement

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface SPECIFICATIONS PXIe-5645 Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface Contents Definitions...2 Conditions... 3 Frequency...4 Frequency Settling Time... 4 Internal Frequency Reference...

More information

Scanning Digital Radar Receiver Project Proposal. Ryan Hamor. Project Advisor: Dr. Brian Huggins

Scanning Digital Radar Receiver Project Proposal. Ryan Hamor. Project Advisor: Dr. Brian Huggins Scanning Digital Radar Receiver Project Proposal by Ryan Hamor Project Advisor: Dr. Brian Huggins Bradley University Department of Electrical and Computer Engineering December 8, 2005 Table of Contents

More information

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion Cascaded Noise-Shaping Modulators for Oversampled Data Conversion Bruce A. Wooley Stanford University B. Wooley, Stanford, 2004 1 Outline Oversampling modulators for A/D conversion Cascaded noise-shaping

More information

A Clock-Tuned Discrete-Time Negative Capacitor Implemented Using Analog Samplers

A Clock-Tuned Discrete-Time Negative Capacitor Implemented Using Analog Samplers A Clock-Tuned Discrete-Time Negative Capacitor Implemented Using Analog Samplers Donald M. Johnson InVue Charlotte, NC, USA Email: mjohnnson49@gmail.com Thomas P. Weldon Department of Electrical and Computer

More information

RFIC Design for Wireless Communications

RFIC Design for Wireless Communications RFIC Design for Wireless Communications VLSI Design & Test Seminar, April 19, 2006 Foster Dai 1. An MIMO Multimode WLAN RFIC 2. A Σ Direct Digital Synthesizer IC Foster Dai, April, 2006 1 1. Dave An MIMO

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Wide bandwidth measurements and Calibration

Wide bandwidth measurements and Calibration Wide bandwidth measurements and Calibration Agenda Wide bandwidth measurement definitions The need for wide bandwidth measurements Types of wide bandwidth measurements Accurate measurements and system

More information

Implementation of FPGA based Design for Digital Signal Processing

Implementation of FPGA based Design for Digital Signal Processing e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 150 156 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Implementation of FPGA based Design for Digital Signal Processing Neeraj Soni 1,

More information

Flying-Adder Frequency and Phase Synthesis Architecture

Flying-Adder Frequency and Phase Synthesis Architecture Flying-Adder Frequency and Phase Synthesis Architecture Liming XIU Texas Instruments Inc, HPA/DAV 01/30/2005 February 15, 2005 Slide 1 What is it? An novel frequency synthesis architecture that takes a

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

Calibration Techniques for Time-Interleaved SAR A/D Converters. Dusan Vlastimir Stepanovic

Calibration Techniques for Time-Interleaved SAR A/D Converters. Dusan Vlastimir Stepanovic Calibration Techniques for Time-Interleaved SAR A/D Converters by Dusan Vlastimir Stepanovic A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy

More information

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K. EE247 Lecture 22 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Figures of merit (FOM) and trends for ADCs How to use/not use FOM Oversampled ADCs EECS 247 Lecture 22:

More information

2.5GS/s Pipelined ADC with Background. Linearity Correction

2.5GS/s Pipelined ADC with Background. Linearity Correction A14b25GS/s8-Way-Interleaved 2.5GS/s Pipelined ADC with Background Calibration and Digital it Dynamic Linearity Correction B. Setterberg 1, K. Poulton 1, S. Ray 1, D.J. Huber 1, V. Abramzon 1, G. Steinbach

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Chapter 8 Frequency Modulation (FM)

Chapter 8 Frequency Modulation (FM) Chapter 8 Frequency Modulation (FM) Contents Slide 1 Frequency Modulation (FM) Slide 2 FM Signal Definition (cont.) Slide 3 Discrete-Time FM Modulator Slide 4 Single Tone FM Modulation Slide 5 Single Tone

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

Fourier Analysis. Chapter Introduction Distortion Harmonic Distortion

Fourier Analysis. Chapter Introduction Distortion Harmonic Distortion Chapter 5 Fourier Analysis 5.1 Introduction The theory, practice, and application of Fourier analysis are presented in the three major sections of this chapter. The theory includes a discussion of Fourier

More information

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST)

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST) Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 1, January 2014,

More information

Design of an Assembly Line Structure ADC

Design of an Assembly Line Structure ADC Design of an Assembly Line Structure ADC Chen Hu 1, Feng Xie 1,Ming Yin 1 1 Department of Electronic Engineering, Naval University of Engineering, Wuhan, China Abstract This paper presents a circuit design

More information

Real-Time Digital Down-Conversion with Equalization

Real-Time Digital Down-Conversion with Equalization Real-Time Digital Down-Conversion with Equalization February 20, 2019 By Alexander Taratorin, Anatoli Stein, Valeriy Serebryanskiy and Lauri Viitas DOWN CONVERSION PRINCIPLE Down conversion is basic operation

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

Towards an ADC for the Liquid Argon Electronics Upgrade

Towards an ADC for the Liquid Argon Electronics Upgrade 1 Towards an ADC for the Liquid Argon Electronics Upgrade Gustaaf Brooijmans Upgrade Workshop, November 10, 2009 2 Current LAr FEB Existing FEB (radiation tolerant for LHC, but slhc?) Limits L1 latency

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

DigitalFrequencySynthesisusingMultiPhaseNCOforDielectricCharacterizationofMaterialsonXilinxZynqFPGA

DigitalFrequencySynthesisusingMultiPhaseNCOforDielectricCharacterizationofMaterialsonXilinxZynqFPGA Global Journal of Researches in Engineering: F Electrical and Electronics Engineering Volume 14 Issue 7 Version 1.0 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Michael F. Toner, et. al.. "Distortion Measurement." Copyright 2000 CRC Press LLC. <

Michael F. Toner, et. al.. Distortion Measurement. Copyright 2000 CRC Press LLC. < Michael F. Toner, et. al.. "Distortion Measurement." Copyright CRC Press LLC. . Distortion Measurement Michael F. Toner Nortel Networks Gordon W. Roberts McGill University 53.1

More information

Keysight Technologies

Keysight Technologies Keysight Technologies Generating Signals Basic CW signal Block diagram Applications Analog Modulation Types of analog modulation Block diagram Applications Digital Modulation Overview of IQ modulation

More information

400 MHz 4000 MHz Low Noise Amplifier ADL5521

400 MHz 4000 MHz Low Noise Amplifier ADL5521 FEATURES Operation from 400 MHz to 4000 MHz Noise figure of 0.8 db at 900 MHz Including external input match Gain of 20.0 db at 900 MHz OIP3 of 37.7 dbm at 900 MHz P1dB of 22.0 dbm at 900 MHz Integrated

More information

Microcomputer Systems 1. Introduction to DSP S

Microcomputer Systems 1. Introduction to DSP S Microcomputer Systems 1 Introduction to DSP S Introduction to DSP s Definition: DSP Digital Signal Processing/Processor It refers to: Theoretical signal processing by digital means (subject of ECE3222,

More information

Power Amplifier Linearization using RF Pre-Distortion JUNE, 2012

Power Amplifier Linearization using RF Pre-Distortion JUNE, 2012 Power Amplifier Linearization using RF Pre-Distortion JUNE, 2012 1 PA Linearization Overview General principles Overview/Block Diagram of DPD and RFPD RFPAL System architecture & Implementation Predistortion

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

Digital Self Excited Loop Implementation and Experience. Trent Allison Curt Hovater John Musson Tomasz Plawski

Digital Self Excited Loop Implementation and Experience. Trent Allison Curt Hovater John Musson Tomasz Plawski Digital Self Excited Loop Implementation and Experience Trent Allison Curt Hovater John Musson Tomasz Plawski Overview Why Self Excited Loop? Algorithm Building Blocks Hardware and Sampling Digital Signal

More information

-400. (12) Patent Application Publication (10) Pub. No.: US 2005/ A1. (19) United States. (43) Pub. Date: Jun. 23, 2005.

-400. (12) Patent Application Publication (10) Pub. No.: US 2005/ A1. (19) United States. (43) Pub. Date: Jun. 23, 2005. (19) United States (12) Patent Application Publication (10) Pub. No.: US 2005/0135524A1 Messier US 2005O135524A1 (43) Pub. Date: Jun. 23, 2005 (54) HIGH RESOLUTION SYNTHESIZER WITH (75) (73) (21) (22)

More information