3D activities at Léti. Role of 200 and 300mm lines. André ROUZAUD, Nicolas SILLON, Mark SCANNELL, David HENRY, Thierry MOURIER

Size: px
Start display at page:

Download "3D activities at Léti. Role of 200 and 300mm lines. André ROUZAUD, Nicolas SILLON, Mark SCANNELL, David HENRY, Thierry MOURIER"

Transcription

1 3D activities at Léti. Role of 200 and 300mm lines André ROUZAUD, Nicolas SILLON, Mark SCANNELL, David HENRY, Thierry MOURIER

2 Outline Introduction Leti approach for 3D Concept of toolbox and generic integration schemes Validations The key role of partnerships 3D line Role and overview Prototyping activities Summary and Conclusions

3 Leti at a Glance Figures 2010 Founded 1967 as part of CEA CEO Dr. Laurent Malier Staff 1700 Budget 250 M Capex 40 M Industrial Partners 300 Joint Labs 33 Value Creation 1700 Patent portfolio (265 in 2010) 40% Under license 37 Startups created; 5 within the last 2 years 200 and 300mm Si capabities 8,000 m² clean rooms Continuous operation

4 Leti Technologies: a 2-Axis Driver Diversification More than Moore 65nm Miniaturization 45nm 32nm 22nm 3D integration Design Lithography Advanced materials Characterization Packaging Advanced substrates Extensive 16nm FDSOI Ultimate MOS Highly selective More Moore Long experience in 3D blocks for different programs.

5 Historical background A lot of applications eager for 3D On many of them, Léti has started working longtime ago: 1988: First Leti TSV patent (intraconnection) R.Cuchet et Al 1999: MCM 3D - LETI 90 s: Wafer bonding, DRIE 1995: Vertical 3D Stacking

6 Why is 3D significant? Three different worlds interested in: Form factor decrease : Miniaturization of final device (X,Y,Z) 3D key drivers Performances improvement Decrease R, C, signal delay allowing to: Increase device bandwidth Decrease power consumption Cost decrease Si area decrease of digital chips leading to better yield Fitting functions with the most suitable technologies Reuse of existing Packaging, BEOL & FEOL lines One Chip SetTopBox (STM)

7 Outline Introduction Leti approach for 3D Concept of toolbox and generic integration schemes Validations The key role of partnerships 3D line Role and overview Prototyping activities Summary and Conclusions

8 Léti s 3D approach Everybody interested by 3D, with different products, different drivers, different constraints (ICs fabs, MEMS fabs, OSATs, IDMs, Fabless ). Léti specific approach to bring added value in 3D: significant efforts on 3D 140 FT people for management of applicative projects, design, process integration and specific developments. Investment on tools: 200 and 300 mm lines building generic technological blocks (toolbox of processes), in close collaboration with materials and equipments manufacturers. through a limited number of different integration schemes, turning ideas into functional demonstrators and cost effective products for our partners.

9 % Kelvin 5µm 09-Ref 10-Ref 11-Ref 12-Ref 13-Ref 14-Ref 15-SLE 16-SLE 17-SLE 0 0,1 0,2 0,3 0,4 0,5 Resistance [Ω ] Ch1 North Ch1 South Ch1 East Ch1 West Ch1 3D/Res Ch0 North Ch0 South Ch0 East Ch0 West Ch0 3D/ Res Ch 0 5x5 router Ch 1 5x5 router Ch1 North Ch1 South Ch1 East Ch1 West Ch1 3D/Res Ch0 North Ch0 South Ch0 East Ch0 West Ch0 3D/Res 3D Tool Box Model ing Thermo mechanical & thermal Modeling Electrical Modeling 3D implementation & partitionning Design layout Standard Design rules manuel & Design kit Layout & masks Technological modules Bonding, Thinning & Handling Components placement (WTW or CTW) Face to Face connections Through Silicon Via (TSV) Redistribution layers and Board connections Indust. / Mfg Reliability Test strategy Cost analysis

10 within an industrial perspective A way to quote maturity for each technology developed in the toolbox Product reliability data, industrial tools identified with partner Frozen process on Leti platform, reliability on technological bricks Process demonstrated on IC Electrical Validation on test vehicle Morphologic demonstration Ideas & IP Transfer 3D Toolbox Maturity level With industrial partner

11 Generic Toolbox Processes 1 active layer Face to Face Face to back 3 level stack Die to Die Die to Substrate TSV Handling Die Placement & Die Molding Solder balls Wire Bonding TSV First Temp. Bonding +slide off High thruput P&P Thick Polymer molding Copper Pillars Solder balls TSV Middle & BS AR10 Temp Bonding + Zonebond High precision P&P Thin Polymer molding µinserts Copper pillar TSV Last AR1 Permanent bonding Self Assembly Thin Oxide planarization µtubes TSV Last AR2 Wafer To Wafer WLUF Cu-Cu TSV Last AR3 Classic Underfill DTW Cu-Cu TSV Last High density

12 used in generic integration schemes 1 active layer Face to Face Face to back 3 level stack 1 active layer Face to Face Face to back 3 level stack Die/die Die/substrate TSV Handling Die placement Die molding Solder balls Wire Bonding TSV First Temp. Bonding +slide off High throuput P&P Thick Polymer molding Die/die Die/substrate TSV Handling Die placement Solder balls Wire Bonding TSV First Temp. Bonding +slide off High throuput P&P Die molding Thick Polymer molding Copper Pillars Solder balls TSV Middle AR10 Temp Bonding + zonebond High precision P&P Thin Polymer molding Copper Pillars Solder balls TSV Middle AR10 Temp Bonding + zonebond High precision P&P Thin Polymer molding µinserts Copper pillar TSV Last AR1 Permanent bonding Self Assembly Thin Oxyde planarisation µinserts Copper pillar TSV Last AR1 Permanent bonding Self Assembly Thin Oxyde planarisation µtubes TSV Last AR2 Wafer To Wafer µtubes TSV Last AR2 Wafer To Wafer Cu-Cu TSV Last AR3 Cu-Cu TSV Last AR3 TSV Last High density TSV Last High density TSV for CMOS image Sensors 3D Partitioning Memory on Logic High Performance

13 Outline Introduction Leti approach for 3D Concept of toolbox and generic integration schemes Validations The key role of partnerships 3D line Role and overview Prototyping activities Summary and Conclusions

14 A 3D toolbox developed with key equipments manufacturers 2010: Joint Dev. Program with SET Die to Wafer Direct bonding JOINT DEVELOPMENT PROGRAMS 2010 : Common lab LETI-SPTS 300mm TSV tool (DRIE, Diel and Metal deposit) Common labs

15 TSV Toolbox: collaboration with SPTS Léti has signed in Oct 2010 a stretegic partnership with SPP/SPTS, a world leading company offering three core processes for TSV (etch, dielectric liner, and metal barrier & seed). STS Pegasus-300 Trikon Planar-300 SPTS Versalys FxP Optimization of TiN and Cu MOCVD Deposition, compatible Via mid and Via last integrations Collaboration on a range of 3D TSV processes to optimize etch and deposition technologies used to create next-generation high aspect ratio TSVs. Research on alternative hardware and processes to address the need for new methods of cost-effective via fill. Extension to very high aspect ratios (> 10:1)through a new approach to current etch and deposition techniques. Via mid 10*80µ S. Kaminaga, chairman of SPTS and president of Sumitomo Precision Products (SPP), and L. Malier, CEO of Léti

16 Stacking Toolbox : Coll. with SET Chip to wafer + Flexible : size / technologies / sources + Yield : known good dies - Throughput - Alignment 2 strategies focus on speed or precision Wafer to wafer + Cost + Throughput - Wafer yield - Chips size must match SET FC 300 Léti-SET collaboration for C2W hybrid Cu- Cu direct bonding for 3D applications.

17 Stacking Toolbox : Coll with EVG Chip to wafer + Flexible : size / technologies / sources + Yield : known good dies - Throughput - Alignment 2 strategies focus on speed or precision Wafer to wafer + Cost + Throughput - Wafer yield - Chips size must match Léti-EVG collaboration for W2W debonding in the frame of a JDP. Ongoing 300mm wafer IR debonding 80µm thick 300mm wafer after debonding

18 Thinning/handling toolbox: Coll. with BSI Collaboration on temporaring bonding Temporary glue with slide off debonding Thermoplastic material / Waferbond + High thickness possible + Good thermal stability + Compatible with high topology - High temperature compatibility ZB new process + Compatible with HT processes + RT + Debonding possible directly on frame - Special carrier treatment

19 RDL Toolbox : Coll. with Replisaurus Developping ECPR, an innovative technology for metallization Principle of ECPR Examples of Cu patterns First prototype installed at Léti/Minatec June 2010.

20 Outline Introduction Leti approach for 3D Concept of toolbox and generic integration schemes Validations The key role of partnerships 3D line Role and overview Prototyping activities Summary and Conclusions

21 Context for 3D 3D integration technologies are now penetrating the industrial world. R&D centers now approached to back industrial developments. swift product development short time-to-market prototyping Need for tools compatible with industrial facilities. Massive Léti s investment in a 3D integration 300mm line aimed to cover a wide spectrum of industrial R&D developments (3D WLP, 3D IC, heterogeneous integration ) Setting up prototyping activities allowing industry to benefit from already mature Léti s 3D technologies: OPEN 3D prototyping line

22 3D lines inside Minatec campus Nanotech 300 3D line CMOS 200 mm MEMS 200 Nanoscale Characterization integration Design Microtech for biology Photonics

23 New 3D 300 R&D line SSEC 3306 (Stripping) Semitool Raider-300 (Cu ECD) AMAT Reflexion LK (CMP) SSEC 3301 (BS Cleaning) Microcontrol Leonardo (Dry film taper) 3D 300 Pilot Line Disco DAD6361 (edge grinder) EVG IQ-300 (mask aliner) Trikon Planar-300 (PECVD) Disco DGP 8760 (grinder) SUSS ACS-300 (spin coater) EVG 560 (bonder) STS Pegasus-300 (DRIE) SPTS Versalys FxP (ipvd & OMCVD) 3D 300 installed base On-going installation. Complete line for end of 2011 Automated P&P Heller 1705MKIII (reflow) Takatori team 300 (vacuum laminator) Semitool Raider (Solder, Cu ECD) SSEC 3301 (etch) DEK Europa (SMT assy)

24 Open 3D prototyping facility The concept : Open 3D is a 3D technology offer, targeting industrial customers and universities, giving access to 3D mature technologies with the following key drivers : Cost effective technologies based on mature technology / no R&D Customization upon request Short cycles time Means & Facilities : Open 3D is operating on LETI technological platforms : 200 & 300 mm Support by LETI skills on layout, process, metrology, characterization, tests & reliability Global offer possible from 3D design to component final packaging Customers advantages : Open 3D commitment : low cost, reduced cycle time, yields. Access to 3D technologies for any wafer format (200 & 300 mm) Possibility to make proof-of-concept and/or small volume production for prototyping & preserial

25 Open 3D technological offer Technological modules TSV Last AR 1:1 AR 2:1 AR 3:1 DRM / DK / layout / masks TSV Interconnections Micro-bumps Landing micro-bumps Pillars Interconnections Under Bump Metallurgy (UBM) UBM Redistribution layer (RDL) RDL Stacking : D2W Stacking

26 Open 3D : supply chain positioning. What a customer has to supply to Open 3D before starting a project? Tech. Specifications / planning Device layout / GDS files Device Wafers Purchase order Open 3D TechBox content 3D Design & Layout 3D Technology 3D Packaging Markets Products Assembly PCB Assy Open 3D product

27 Conclusions 3D integration is a major program worldwide and at Léti. Léti has developed an original approach to deal with the complexity and the different configurations This approach includes strategic partnerships with key tools manufacturers. Important role of tools manufacturers in 3D: proposing both mature and flexible tools involved in the technocal roadmaps. 200mm and 300mm 3D lines at Léti are fully functional. Prototyping activities successfully launched, allowing industry to benefit from already mature Léti s 3D technologies.

28 THANK YOU FOR YOUR ATTENTION

29 Integration schemes : CIS ST Micro / CEA-LETI 1 active layer Face to Face Face to back 3 level stack Die/die Die/substrate TSV Handling Die placement Die molding CIS application Solder balls Wire Bonding TSV First Temp. Bonding +slide off High throuput P&P Thick Polymer molding Cu liner TSV AR 1 : 1 Copper Pillars µinserts µtubes Solder balls Copper pillar TSV Middle AR10 TSV Last AR1 TSV Last AR2 Temp Bonding + zonebond Permanent bonding High precision P&P Self Assembly Wafer To Wafer Thin Polymer molding Thin Oxyde planarisation Cu-Cu TSV Last AR3 TSV Last High density Production mode since mm production STM Crolles

30 Integration schemes : Interposers Leti s Interposer technology Thinned wafer (120 µm) Die to Die Copper pillar or Die to substrate copper pillars Via Last TSV (Aspect Ratio Via Via Last 2-3) Mid

31 TSV Toolbox The three families of Through Silicon Via are available at Léti, with different targets, application fields and maturities. Via First TSV (Polysilicon filled) TSV first for medical application SOI substrate, High voltage Trench AR 20, 5x100µm Via Middle TSV (Copper filled) TiN MOCVD barrier Cu PVD/MOCVD Best flexibility in layout and design Higher density of I/Os AR 7, 2 x 15µm AR 10, 10x100µm Via Last TSV (Copper liner) High density Mid density Minimal impact on circuit layout mét al RD L S i O 2 f l a n c B C B bulle air sous BCB 60µ m AR 1 80x80µm AR 2, 60x120µm AR 3, 40x120µm

32 Face-to-Face Interconnections From solder balls to Cu-Cu bonding A wide range of interconnections C2C pillars SLID / TLP Classic Flip chip (Ball or stud bump) Solder-free µinserts Si Cu µtubes in SAC Si SiO 2 C2S pillars Cu-Cu Direct bonding > 100 µm µm range µm range Down to 5 µm Pitch reduction

33 Redistribution Layers and Board Connections High-density, high-performance packaging Cu RDL with organic passivation layer Few µm s of Cu (1 10 µm), Polymers for IDL Cu RDL (Damascene) with inorganic passivation layer Redistribution layer (RDL) Redistribution layer (Cu) ØTSV~3µm Thin Si~15µm Metal1 12 µm planarized chip

3D Integration developments & manufacturing CEA-LETI. D. Henry CEA-Leti-Minatec

3D Integration developments & manufacturing CEA-LETI. D. Henry CEA-Leti-Minatec 3D Integration developments & manufacturing offer @ CEA-LETI D. Henry CEA-Leti-Minatec Outline Introduction 3D Integration R&D activities overview 3D integration Manufacturing offer : Open 3D platform

More information

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES Fig. 5: Scanning Electron Microscopy images (TOP view, 3D view, Zoome including all metal levels of the BSI imager structure. (dashed line shows bonding IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC PACKAGE-ON-PACKAGE INTERCONNECT FOR FAN-OUT WAFER LEVEL PACKAGES Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct 18-20 2016, IWLPC 1 Outline Laminate to Fan-Out

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities) Wafer Finishing & Flip Chip Stacking interconnects have emerged to serve a wide range of 2.5D- & 3D- packaging applications and architectures that demand very high performance and functionality at the

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Hugo Pristauz & Andreas Mayr, Besi Austria presented by: Stefan Behler, Besi Switzerland ECTC 2018

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

New Approaches to Develop a Scalable 3D IC Assembly Method

New Approaches to Develop a Scalable 3D IC Assembly Method New Approaches to Develop a Scalable 3D IC Assembly Method Charles G. Woychik Ph.D. Sangil Lee, Ph.D., Scott McGrath, Eric Tosaya and Sitaram Arkalgud Ph.D. Invensas Corporation 3025 Orchard Parkway San

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. C M P C h a r a c t e r I z a t I o n S o l u t I o n s 200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. 2920 Scott Blvd., Santa Clara, CA 95054 Tel: 408-919-0094,

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Data Sheet _ R&D. Rev Date: 8/17

Data Sheet _ R&D. Rev Date: 8/17 Data Sheet _ R&D Rev Date: 8/17 Micro Bump In coming years the interconnect density for several applications such as micro display, imaging devices will approach the pitch 10um and below. Many research

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

PRESS KIT. High Accuracy Device Bonder with Robotics.

PRESS KIT. High Accuracy Device Bonder with Robotics. PRESS KIT High Accuracy Device Bonder with Robotics Press Announcement SET Introduces FC300R High Accuracy Device Bonder with Robotics FC300R: an Easy-to-Use Production Platform Ideal for High Accuracy

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

Key development approach in the area of MEMS manufacturing and MEMS wafer level packaging

Key development approach in the area of MEMS manufacturing and MEMS wafer level packaging Key development approach in the area of MEMS manufacturing and MEMS wafer level packaging Claude Jean, MSc, MBA Executive Vice President & General Manager, Teledyne DALSA Semiconductor Global Semiconductor

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

TSI, or through-silicon insulation, is the

TSI, or through-silicon insulation, is the Vertical through-wafer insulation: Enabling integration and innovation PETER HIMES, Silex Microsystems AB, Järfälla SWEDEN Through-wafer insulation has been used to develop technologies such as Sil-Via

More information

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Through Silicon Via Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) by Tom Strothmann, *Damien Pricolo, **Seung Wook Yoon, **Yaojian Lin STATS ChipPAC Inc.1711 W Greentree Drive Tempe,

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014 2572-10 Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications 10-21 February 2014 Photonic packaging and integration technologies II Sonia M. García Blanco University of

More information

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division Image Sensor Advanced Package Solution Prepared by : JL Huang & KingPak RD division Contents CMOS image sensor marketing overview Comparison between different type of CMOS image sensor package Overview

More information

Integration of 3D detector systems

Integration of 3D detector systems Integration of 3D detector systems Piet De Moor Introduction Evolution in radiation detection/imaging: single pixel linear array 2D array increase in resolution = decrease in pitch (down to few um) = thanks

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain IDeAL program : DSA activity at LETI S. Tedesco R. Tiron L. Pain Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion

More information

EUFANET Toulouse conferences, November 28th-29th, 2011 Stéphane Bellenger, IPDiA

EUFANET Toulouse conferences, November 28th-29th, 2011 Stéphane Bellenger, IPDiA 3D low-profile Silicon interposer using Passive Integration (PICS) and Advanced Packaging Solutions EUFANET Toulouse conferences, November 28th-29th, 2011 Stéphane Bellenger, IPDiA 3D Advanced Integration

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION Jorma Salmi and Jaakko Salonen VTT Information Technology Microelectronics P.O. Box 1208 FIN-02044 VTT, Finland (visiting: Micronova, Tietotie

More information

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI)

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI) 2017 IEEE 67th Electronic Components and Technology Conference Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI) F.X. Che*, M. Kawano, M.Z. Ding, Y. Han,

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan Buch,Vanessa Smet, Yoichiro Sato, Lutz Parthier, Frank Wei

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

Semiconductor Process Diagnosis and Prognosis for DSfM

Semiconductor Process Diagnosis and Prognosis for DSfM Semiconductor Process Diagnosis and Prognosis for DSfM Department of Electronic Engineering Prof. Sang Jeen Hong Nov. 19, 2014 1/2 Agenda 1. Semiconductor Manufacturing Industry 2. Roles of Semiconductor

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

50 Micron Pitch Flip Chip Bumping Technology: Processes and Applications

50 Micron Pitch Flip Chip Bumping Technology: Processes and Applications 50 Micron Pitch Flip Chip Bumping Technology: Processes and Applications Alan Huffman Center for Materials and Electronic Technologies huffman@rti.org Outline RTI Identity/History Historical development

More information

RF DEVICES: BREAKTHROUGHS THANKS TO NEW MATERIALS. Jean-René Lequepeys. Leti Devices Workshop December 3, 2017

RF DEVICES: BREAKTHROUGHS THANKS TO NEW MATERIALS. Jean-René Lequepeys. Leti Devices Workshop December 3, 2017 RF DEVICES: BREAKTHROUGHS THANKS TO NEW MATERIALS Jean-René Lequepeys CELLULAR RF MARKETS RF cellular markets are still progressing Smartphones remain the main driver Declining growth rate but more complex

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Glass: Enabling Next-Generation, Higher Performance Solutions Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Forward Looking And Cautionary Statements Certain statements in this presentation

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

EE 330 Lecture 11. Capacitances in Interconnects Back-end Processing

EE 330 Lecture 11. Capacitances in Interconnects Back-end Processing EE 330 Lecture 11 Capacitances in Interconnects Back-end Processing Exam 1 Friday Sept 21 Students may bring 1 page of notes HW assignment for week of Sept 16 due on Wed Sept 19 at beginning of class No

More information

Design Rules for Silicon Photonic Packaging at Tyndall Institute

Design Rules for Silicon Photonic Packaging at Tyndall Institute Design Rules for Silicon Photonic Packaging at Tyndall Institute January 2015 About Tyndall Institute Established with a mission to support industry and academia in driving research to market, Tyndall

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

"Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers"

Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8 and 12 Wafers 1 "Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers" Elke Zakel, Thomas Oppert, Ghassem Azdasht, Thorsten Teutsch * Pac Tech Packaging Technologies GmbH Am Schlangenhorst

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr April 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS May 1st 2018 Justin C. Borski i3 Microsystems Inc. justin.borski@i3microsystems.com A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS Presentation

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging M. Asghari Kotura Inc April 27 Contents: Who is Kotura Choice of waveguide technology Challenges and merits of Si photonics

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

Laser Solder Attach for Optoelectronics Packages

Laser Solder Attach for Optoelectronics Packages 1 Laser Solder Attach for Optoelectronics Packages Elke Zakel, Lars Titerle, Thomas Oppert, Ronald G. Blankenhorn* Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15-17, Germany Phone:+ 49 (0) 33

More information