Circuit-Level Considerations for an Ultra- Low Voltage FPGA with Unidirectional, Single-Driver Routing Fabric

Size: px
Start display at page:

Download "Circuit-Level Considerations for an Ultra- Low Voltage FPGA with Unidirectional, Single-Driver Routing Fabric"

Transcription

1 UNCLSSIFIED Circuit-Level Considerations for an Ultra- Low Voltage FPG with Unidirectional, Single-Driver Routing Fabric Peter Grossmann, Miriam Leeser 26 September 2011 The Lincoln Laboratory portion of this work was sponsored by the United States Government under ir Force contract number F C The opinions, interpretations, conclusions and recommendations are those of the authors and are not necessarily endorsed by the United States Government. SUVT UNCLSSIFIED

2 UNCLSSIFIED Subthreshold FPG Motivation Low power systems benefit from FPGs Improved energy efficiency/performance vs. microcontroller Improved design via reconfigurability Lower cost vs. SIC State of the art low power FPGs: 10s to 100s of mw Ultra-low power applications require 10s to 100s of µw Wireless sensor networks RFID Digital hearing aids Ultra-low power budgets motivate extreme voltage scaling Subthreshold supply voltages yield peak energy efficiency SUVT UNCLSSIFIED

3 UNCLSSIFIED FPG rchitecture Overview rray of tiles Configurable Logic locks (CLs) Programmable Routing Channels TILE Tile periphery connects to I/O blocks Logic functions, routing connectivity programmed via SRM. Sharma, Place and Route Techniques for FPG rchitecture dvancement, University of Washington, Island-style FPG SUVT UNCLSSIFIED

4 UNCLSSIFIED FPG Tile rchitecture Used In This Work Cluster-based island style tile 8-LE clusters good cluster count for low-power FPGs Logically equivalent inputs -- improves routing flexibility Directional, single driver routing Connection lock (C) 3 2 Logic lock (L) x4 4 :1 x8 LE x6 16:1 16:1 x6 2 6 Connection lock (C) x x3 16:1 16:1 x3 2 x 3 Switch lock (S) SUVT UNCLSSIFIED

5 UNCLSSIFIED Three Sub-V t FPG Circuit Design Decisions Configuration bit storage Two-input multiplexer design choices Wide-input multiplexer design choices Goal: Minimize Power, Delay, and rea Static power especially critical Sacrificing delay for static power acceptable Sacrificing area for power might be acceptable rea no longer critical for delay reduction Logic capacity requirements for many ultra-low power applications are modest Reducing die size for yield, cost considerations may drive area requirements SUVT UNCLSSIFIED

6 UNCLSSIFIED Configuration it Storage WL V DD ` L Standard 6T SRM it Cell 6T Latch Subthreshold FPG SRM use case: slow writes, no reads Cross-coupled inverters directly drive configuration inputs Pi Primary goals: low area, low static ti power SUVT UNCLSSIFIED

7 UNCLSSIFIED Leaf Cell Implementation Multiplexer Key Considerations Process technology matters IM 0.18µm SOI Input type matters Fast select lines needed for LUT Fast non-select lines needed for routing muxes Static power matters Many mux instances will be unused logic, routing resources rea still matters Each tile will contain the equivalent of ~ input muxes SUVT UNCLSSIFIED

8 UNCLSSIFIED Multiplexer Style Comparison Significant area, delay penalties for improved power in DTMOS Transmission gate multiplexer power not acceptable If area matters, plain old static CMOS appears to be best choice vg. Current nmux2 nmuxs2 nmux_ dtmos2 tnmux2 tnmux_ dtmos2 nmux_ sbc vg. Static Current /-Y Delay S-Y Delay rea SUVT UNCLSSIFIED

9 UNCLSSIFIED Wide Input Multiplexer Power Gating State-based power gating No additional programming g bits required Full State-ased Power Gating log 2 (N) / (N - 1) Flat State-ased Power Gating (N/2) / (N 1) Practical for FPGs wakeup time is irrelevant for routing muxes Possible pproaches Full power gate all muxes not on the selected path; requires additional logic Flat larger loads on sleep transistors t Hierarchical State-ased Power Gating (N/2) / (N - 1) Hierarchical reduces input capacitance on final select bit, lighter loads on sleep transistors SUVT UNCLSSIFIED

10 UNCLSSIFIED Comparison of State-ased Power Gating Implementations 16:1 LF Mux 16:1 LF Mux, Full 16:1 LF Mux, Hierarchical 16:1 LF Mux, Flat vg. Delay (ns) vg. Static Current (n) rea (µm 2 ) Full approach requires too much logic Flat, hierarchical approaches show good efficiency (8/15 = 0.53) Most hierarchical, flat area overhead due to isolation of power rails SUVT UNCLSSIFIED

11 UNCLSSIFIED Conclusion Use of 6T latch eliminates need to design around subthreshold SRM noise margins for configuration bits Multiple area/delay/power tradeoffs available for two- input multiplexers to implement routing fabrics State-based power gating for wide input multiplexers consume (N/2)/(N- 1) times the static power of nonpower gated counterparts Subthreshold FPGs benefit from circuit design that is aware of FPG use cases SUVT UNCLSSIFIED

12 UNCLSSIFIED MIT Lincoln Laboratory Lincoln Scholars Program Group 83 Group 88 LLCD Thank You PhD Committee Miriam Leeser (dvisor) Nicol McGruer (Northeastern) nantha Chandrakasan (MIT) Peter Wyatt (MITLL) SUVT UNCLSSIFIED

13 UNCLSSIFIED enefits of Unidirectional Routing Fabric Simplified circuitry for drivers no tristate buffers required Reduced capacitance on routing wires due to shorter wires and smaller loads Net improvement in area-delay product Doubling wire count vs. bidirectional routing resources not necessary Source: G. Lemieux, E. Lee, M. Tom, and. Yu, Directional and singledriver wires in FPG interconnect, Field-Programmable Technology, Proceedings IEEE International Conference on, 2004, pp Lemieux et. al (2004) SUVT UNCLSSIFIED

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Lecture 18. BUS and MEMORY

Lecture 18. BUS and MEMORY Lecture 18 BUS and MEMORY Slides of Adam Postula used 12/8/2002 1 SIGNAL PROPAGATION FROM ONE SOURCE TO MANY SINKS A AND XOR Signal le - FANOUT = 3 AND AND B BUS LINE Signal Driver - Sgle Source Many Sks

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

Ultra-Low-Power Phase-Locked Loop Design

Ultra-Low-Power Phase-Locked Loop Design Design for MOSIS Educational Program (Research) Ultra-Low-Power Phase-Locked Loop Design Prepared by: M. Shahriar Jahan, Xiaojun Tu, Tan Yang, Junjie Lu, Ashraf Islam, Kai Zhu, Song Yuan, Chandradevi Ulaganathan,

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

Lecture 8: Memory Peripherals

Lecture 8: Memory Peripherals Digital Integrated Circuits (83-313) Lecture 8: Memory Peripherals Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 20 May 2017 Disclaimer: This course was prepared, in its

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic Regular logic Programmable Logic rrays Multiplexers/ecoders ROMs Field Programmable Gate rrays Xilinx Vertex Random Logic Full ustom esign S 5 - Fall 25 Lec. #3: Programmable Logic -

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic! Regular logic " Programmable Logic rrays " Multiplexers/ecoders " ROMs! Field Programmable Gate rrays " Xilinx Vertex Random Logic Full ustom esign S 5 - Spring 27 Lec. #3: Programmable

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2 ISSCC 2003 / SESSION 6 / OW-POWER DIGITA TECHNIQUES / PAPER 6.2 6.2 A Shared-Well Dual-Supply-Voltage 64-bit AU Yasuhisa Shimazaki 1, Radu Zlatanovici 2, Borivoje Nikoli 2 1 Hitachi, Tokyo Japan, now with

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

VLSI DESIGN OF DIGIT-SERIAL FPGA ARCHITECTURE

VLSI DESIGN OF DIGIT-SERIAL FPGA ARCHITECTURE Journal of Circuits, Systems, and Computers Vol. 3, No. (24) 7 52 c World Scientific Publishing Company VLSI ESIGN OF IGIT-SERIAL FPGA ARCHITECTURE HANHO LEE School of Information and Communication Engineering,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

The EPAC Architecture: An Expert Cell Approach to Field Programmable Analog Devices

The EPAC Architecture: An Expert Cell Approach to Field Programmable Analog Devices The EPC rchitecture: n Expert Cell pproach to Field Programmable nalog Devices Hans W. Klein Director of EPC Programs IMP, Inc., San Jose, C, US bstract This paper describes the architectural configuration

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC 1 Abhishek Rai, 2 B Ananda Venkatesan 1 M.Tech Scholar, 2 Assistant professor Dept. of ECE, SRM University, Chennai 1 Abhishekfan1791@gmail.com,

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET M. A Huque 1, R. Vijayaraghavan 1, M. Zhang 1, B. J. Blalock 1, L M. Tolbert 1,2, and S. K. Islam 1 1 Department of Electrical and Computer

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Intelligent Systems Group Department of Electronics. An Evolvable, Field-Programmable Full Custom Analogue Transistor Array (FPTA)

Intelligent Systems Group Department of Electronics. An Evolvable, Field-Programmable Full Custom Analogue Transistor Array (FPTA) Department of Electronics n Evolvable, Field-Programmable Full Custom nalogue Transistor rray (FPT) Outline What`s Behind nalog? Evolution Substrate custom made configurable transistor array (FPT) Ways

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE)   Volume 1, Issue 1. Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University,

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Topics Low Power Techniques Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Rabaey Review: Energy & Power Equations E = C L V 2 DD P 0 1 +

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Second-Generation PDP Address Driver IC

Second-Generation PDP Address Driver IC Second-Generation PDP Address Driver IC Seiji Noguchi Hitoshi Sumida Kazuhiro Kawamura 1. Introduction Fig.1 Overview of the process flow Color PDPs (plasma display panels) are used in household TV sets

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

BiCMOS Circuit Design

BiCMOS Circuit Design BiCMOS Circuit Design 1. Introduction to BiCMOS 2. Process, Device, and Modeling 3. BiCMOS Digital Circuit Design 4. BiCMOS Analog Circuit Design 5. BiCMOS Subsystems and Practical Considerations Tai-Haur

More information

A High Speed Low Power Adder in Multi Output Domino Logic

A High Speed Low Power Adder in Multi Output Domino Logic Journal From the SelectedWorks of Kirat Pal Singh Winter November 28, 2014 High Speed Low Power dder in Multi Output Domino Logic Neeraj Jain, NIIST, hopal, India Puran Gour, NIIST, hopal, India rahmi

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Study of Physical Unclonable Functions at Low Voltage on FPGA

Study of Physical Unclonable Functions at Low Voltage on FPGA Study of Physical Unclonable Functions at Low Voltage on FPGA Kanu Priya Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial fulfillment of the requirements

More information