Lecture 8: Memory Peripherals

Size: px
Start display at page:

Download "Lecture 8: Memory Peripherals"

Transcription

1 Digital Integrated Circuits (83-313) Lecture 8: Memory Peripherals Semester B, Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 20 May 2017 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from sources freely available on the internet. When possible, these sources have been cited; however, some references may have been cited incorrectly or overlooked. If you feel that a picture, graph, or code example has been copied from you and either needs to be cited or removed, please feel free to adam.teman@biu.ac.il and I will address this as soon as possible.

2 2 Lecture Content

3 3 Memory Peripherals Overview

4 Memory Architecture ADDA-1 : ADDM Row Decoder ADD M-1 : ADD 0 Bit Line Sense Amplifiers /Drivers Column Decoder Storage Cell Word Line C 2 M Memory Size: W Words of C bits =W x C bits Address bus: A bits W=2 A Number of Words in a Row: 2 M Multiplexing Factor: M Number of Rows: 2 A-M Number of Columns: C x 2 M Row Decoder: A-M 2 A-M Column Decoder: M 2 M 4 Input/Output (C bits)

5 5 Memory Timing: Definitions

6 Row Decoder Major Peripheral Circuits Bit Line Storage Cell Row Decoder Column Multiplexer Sense Amplifier AW-1 : AM Word Line Write Driver Precharge Circuit Sense Amplifiers /Drivers C 2 M A M-1 : A 0 Column Decoder 6 Input/Output (C bits)

7 7 Row Decoder Design

8 Row Decoders A Decoder reduces the number of select signals by log 2. Number of Rows: N Number of Row Address Bits: log 2 N 8

9 Row Decoders Standard Decoder Design: Each output row is driven by an AND gate with k=log 2 N inputs. Each gate has a unique combination of address inputs (or their inverted values). For example, an 8-bit row address has input AND gates, such as: WL WL 0 A7 A6 A5 A4 A3 A2 A1 A A A A A A A A A 9 NOR Decoder: DeMorgan will provide us with a NOR Decoder. In the previous example, we ll get input NOR gates: WL0 A7 A6 A5 A4 A3 A2 A1 A0 WL255 A7 A6 A5 A4 A3 A2 A1 A0

10 How should we build it? Let s build a row decoder for a 256x256 SRAM Array. We need input AND Gates. Each gate drives 256 bitcells We have various options: Which one is best? 10

11 Reminder: Logical Effort t t p EF pd, i pinv i i EF LE f LE i i i i b C i C in, i1 in, i PE F LE B L LEi b C i in,1 C N N opt i i EF PE F LE b N log PE log F LE B opt EF EF opt opt t t p EF t p N PE N pd pinv i i pinv i 11

12 Problem Setup For LE calculation we need to start with: Output Load (C L ) Input Capacitance (C in ) Branching (B) What is the Load Capacitance? 256 bitcells on each Word Line C 256C C WL Cell Wire 12 Let s ignore the wire for now What is the Input Capacitance? Let s assume our address drivers can drive a bit more than a bitcell, so: C 4C in, addr _ driver Cell

13 Problem Setup What is the Branching Effort? Lets take another look at the Boolean expressions: WL WL A A A A A A A A A A A A A A A A We see that half of the signals use A i and half use A i! So each address driver drives input AND gates, but only one is on the selected WL path. C C ; C 127C B on path nand off path nand add _ driver Con path Coff path Cnand 127Cnand 128 C C on path nand 13

14 Number of Stages Altogether the path effort is: CWL PE LE B F LE bi LE 128 C address 13 LE 8k 2 LE 256C 4C Cell Cell The best case logical effort is LE 1 So the minimum number of stages for optimal delay is: PE N opt log That s a lot of stages! 14

15 So which implementation should we use? The one with the minimum Logical Effort: LE ; p LE p LE ; p LE ; p

16 New optimal number of Stages So now we can calculate the actual path effort: PE F b LE N opt log PE i i k We could add another inverter or two to get closer to the optimal number of stages 16

17 Implementation Problems Address Line Capacitance: Our assumption was that C in,addr_driver =4C cell. But each address drives 128 gates That s a really long wire with high capacitance. This means that we will need to buffer the address lines This will probably ruin our whole analysis... Bit-cell Pitch: Each signal drives one row of bitcells. How will we fit 8 address signals into this pitch? 17

18 Predecoding - Concept Solution: Let s look at two decoder paths: WL 254, WL 255 We see that there are many shared gates. So why not share them? 18 For instance, we can use the purple output for both gates

19 Predecoding - Method How do we do this? If we look at the final Boolean expression, it has combinations of groups of inputs. By grouping together a few inputs, we actually create a small decoder. Then we just AND the outputs of all the pre decoders. For example: Two 4:16 predecoders 19 D dec A, A, A, A ; E dec A, A, A, A ; WL D E ; WL D E ; WL D E ;

20 Predecoding - Example Let s look at our example: D dec A, A, A, A E dec A, A, A, A WL D E WL D E WL D E What is our new branching effort? As before, each address drives half the lines of the small decoder. Each predecoder output drives 256/16 post-decoder gates. Altogether, the branching effort is: B b addr _ driver bpredecoder Same as before!

21 Predecoding - Solution Why is this a better solution? Each Address driver is only driving four gates less capacitance. We saved a ton of area by sharing gates. We can Pitch Fit 2-input NAND gates. 21

22 Another Predecoding Example We can try using four 2-input predecoders: This will require us to use input NAND gates. 22

23 How do we choose a configuration? Pitch Fitting: 2-input NANDs vs. 4-input NAND. Switching Capacitance: How many wires switch at each transition? Stages Before the large cap: Distribution of the load along the delay. Conclusion: Usually do as much predecoding as possible! WL 0 WL 0 WL 1 WL WL 127 WL A 0 A 1 A 2 A 3 A 4 A 5 A 6 A 7 A 0 A 1 A 2 A 3 A 4 A 5 A 6 A 7

24 Alternative Solution: Dynamic Decoders 2-input NOR decoder 2-input NAND decoder 24

25 25 Column Multiplexer

26 Column Multiplexer First option PTL Mux with decoder Fast only 1 transistor in signal path. Large transistor Count A1 A0 B0 B1 B2 B3 Y 26

27 4 to 1 tree decoder Second option Tree Decoder For 2k:1 Mux, it uses k series transistors. Delay increases quadratically No external decode logic big area reduction. 27

28 28 Combining the Two

29 29 Precharge and Sense Amp

30 Precharge Circuitry Precharge bitlines high before reads bit bit_b Equalize bitlines to minimize voltage difference when using sense amplifiers bit bit_b 30

31 Sense Amplifiers t p = C DV I av make DV as small as possible large small Idea: Use Sense Amplifer small transition s.a. input output 31

32 Differential Sense Amplifier Non-clocked Sense Amp has high static power. Clocked sense amp saves power Requires sense_clk after enough bitline swing Isolation transistors cut off large bitline capacitance 32

33 Further Reading Rabaey, et al. Digital Integrated Circuits (2 nd Edition) Elad Alon, Berkeley ee141 (online) Weste, Harris, CMOS VLSI Design (4 th Edition) 34

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Digital Integrated Circuits (83-313) Lecture 3: Design Metrics

Digital Integrated Circuits (83-313) Lecture 3: Design Metrics Digital Integrated Circuits (83-313) Lecture 3: Design Metrics Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its entirety,

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Lecture 18. BUS and MEMORY

Lecture 18. BUS and MEMORY Lecture 18 BUS and MEMORY Slides of Adam Postula used 12/8/2002 1 SIGNAL PROPAGATION FROM ONE SOURCE TO MANY SINKS A AND XOR Signal le - FANOUT = 3 AND AND B BUS LINE Signal Driver - Sgle Source Many Sks

More information

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto High Speed 64kb SRAM ECE 4332 Fall 2013 Outline Problem Design Approach & Choices Circuit Block Architecture Novelties Layout

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

CACTI 5.1. Shyamkumar Thoziyoor, Naveen Muralimanohar, Jung Ho Ahn, and Norman P. Jouppi HP Laboratories, Palo Alto HPL April 2, 2008*

CACTI 5.1. Shyamkumar Thoziyoor, Naveen Muralimanohar, Jung Ho Ahn, and Norman P. Jouppi HP Laboratories, Palo Alto HPL April 2, 2008* CACTI 5. Shyamkumar Thoziyoor, Naveen Muralimanohar, Jung Ho Ahn, and Norman P. Jouppi HP Laboratories, Palo Alto HPL-8- April, 8* cache, memory, area, power, access time, DRAM CACTI 5. is a version of

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 RESEARCH ARTICLE OPEN ACCESS LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 Abstract: This document introduces a switch design method

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

! Review: Sequential MOS Logic.  SR Latch.  D-Latch. ! Timing Hazards. ! Dynamic Logic.  Domino Logic. ! Charge Sharing Setup. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 29, 206 Timing Hazards and Dynamic Logic Lecture Outline! Review: Sequential MOS Logic " SR " D-! Timing Hazards! Dynamic Logic "

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers Friday s class will be a lecture rather

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Shyamkumar Thoziyoor, Naveen Muralimanohar, and Norman P. Jouppi Advanced Architecture Laboratory HP Laboratories HPL October 19, 2007*

Shyamkumar Thoziyoor, Naveen Muralimanohar, and Norman P. Jouppi Advanced Architecture Laboratory HP Laboratories HPL October 19, 2007* CACTI 5. Shyamkumar Thoziyoor, Naveen Muralimanohar, and Norman P. Jouppi Advanced Architecture Laboratory HP Laboratories HPL-7-167 October 19, 7* cache, memory, area, power, access time CACTI 5. is the

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

In this lecture: Lecture 8: ROM & Programmable Logic Devices

In this lecture: Lecture 8: ROM & Programmable Logic Devices In this lecture: Lecture 8: ROM Programmable Logic Devices Dr Pete Sedcole Department of EE Engineering Imperial College London http://caseeicacuk/~nps/ (Floyd, 3 5, 3) (Tocci 2, 24, 25, 27, 28, 3 34)

More information

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 6: CMOS Digital Logic 1 Last Lectures The CMOS Inverter CMOS Capacitance Driving a Load 2 This Lecture Now that we know all

More information

Texas Instruments TI046B1 Serial FRAM

Texas Instruments TI046B1 Serial FRAM Texas Instruments TI046B1 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Some of the information in this report may be covered by patents, mask and/or copyright

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Energy Recovery for the Design of High-Speed, Low-Power Static RAMs

Energy Recovery for the Design of High-Speed, Low-Power Static RAMs Energy Recovery for the Design of High-Speed, Low-Power Static RAMs Nestoras Tzartzanis and William C. Athas {nestoras, athas}@isi.edu URL: http://www.isi.edu/acmos University of Southern California Information

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Samsung S5K3L1YX Mp, 1/3.2 Inch Optical Format 1.12 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor

Samsung S5K3L1YX Mp, 1/3.2 Inch Optical Format 1.12 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor Samsung S5K3L1YX03 12.1 Mp, 1/3.2 Inch Optical Format 1.12 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor Circuit Analysis of Pixel Array, Row Drivers, Column Readouts, Ramp Generator, DPLL, MIPI

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Speed and Power Scaling of SRAM s

Speed and Power Scaling of SRAM s IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000 175 Speed and Power Scaling of SRAM s Bharadwaj S. Amrutur and Mark A. Horowitz Abstract Simple models for the delay, power, and

More information

DESIGN AND ANALYSIS OF FAST LOW POWER. SRAMs

DESIGN AND ANALYSIS OF FAST LOW POWER. SRAMs DESIGN AND ANALYSIS OF FAST LOW POWER SRAMs A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories Wasim Hussain A Thesis In The Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh ECE 471/571 Combinatorial Circuits Lecture-7 Gurjeet Singh Propagation Delay of CMOS Gates Propagation delay of Four input NAND Gate Disadvantages of Complementary CMOS Design Increase in complexity Larger

More information

Switching threshold. Switch delay model. Input pattern effects on delay

Switching threshold. Switch delay model. Input pattern effects on delay Switching threshold Low Power VLSI System Design Lecture 8 & 9: Transistor Sizing and Low Power Memory Design Prof. R. Iris ahar October & 4, 017 Define V M to be the oint where V in = V out (both PMOS

More information

Fast Low-Power Decoders for RAMs

Fast Low-Power Decoders for RAMs 1506 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 Fast Low-Power Decoders for RAMs Bharadwaj S. Amrutur and Mark A. Horowitz, Fellow, IEEE Abstract Decoder design involves choosing

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks EE 330 Lecture 42 Other Logic Styles Digital Building Blocks Logic Styles Static CMOS Complex Logic Gates Pass Transistor Logic (PTL) Pseudo NMOS Dynamic Logic Domino Zipper Static CMOS Widely used Attractive

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers 1 General Table Lookup Synthesis A B 00

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay)   CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 6 (31 Jan 2008) 1 Announcement 2 1 Reminder A logic circuit is composed of: Inputs Outputs Functional specification

More information

Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation

Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation by Adam Neale A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2000): 48 million IBM PowerPC 750FX (2002): 38 million IBM/Apple

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Final Project Report 4-bit ALU Design

Final Project Report 4-bit ALU Design ECE 467 Final Project Report 4-bit ALU Design Fall 2013 Kai Zhao Aswin Gonzalez Sepideh Roghanchi Soroush Khaleghi Part 1) Final ALU Design: There are 6 different functions implemented in this ALU: 1)

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Design of a high speed and low power Sense Amplifier

Design of a high speed and low power Sense Amplifier Design of a high speed and low power Sense Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design & CAD Submitted by

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Variability in Sub-100nm SRAM Designs

Variability in Sub-100nm SRAM Designs Variability in Sub-100nm SRAM Designs Ray Heald & Ping Wang Sun Microsystems Ray Heald & Ping Wang ICCAD 2004 Variability in Sub-100nm SRAM Designs 11/9/04 1 Outline Background: Quick review of what is

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 16: Power and Performance

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 16: Power and Performance EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 16: Power and Performance Announcements Homework 3 due on Monday Quiz #3 on Monday Makeup lecture on Friday, 3pm, in 540AB 2 1 Outline Last

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

USE GAL DEVICES FOR NEW DESIGNS

USE GAL DEVICES FOR NEW DESIGNS PALLV22V PALLV22VZ COM'L: -7//5 IND: -5 IND: -25 PALLV22V and PALLV22VZ Families Low-Voltage (Zero Power) 24-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHARACTERISTICS Low-voltage operation, 3.3 V JEDEC

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 VLSI COMP375 Computer Architecture Middleware other CS classes Machine Language Microcode Logic circuits Transistors Middleware Machine Language - earlier Microcode Logic circuits Transistors Middleware

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Design and Implementation of High Speed Sense Amplifier for Sram

Design and Implementation of High Speed Sense Amplifier for Sram American-Eurasian Journal of Scientific Research 12 (6): 320-326, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.320.326 Design and Implementation of High Speed Sense Amplifier

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

(12) Patent Application Publication (10) Pub. No.: US 2011/ A1

(12) Patent Application Publication (10) Pub. No.: US 2011/ A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2011/0188326 A1 Lee et al. US 2011 0188326A1 (43) Pub. Date: Aug. 4, 2011 (54) DUAL RAIL STATIC RANDOMACCESS MEMORY (75) Inventors:

More information

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1 American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

(12) United States Patent (10) Patent No.: US 8,536,898 B2

(12) United States Patent (10) Patent No.: US 8,536,898 B2 US008536898B2 (12) United States Patent (10) Patent No.: US 8,536,898 B2 Rennie et al. (45) Date of Patent: Sep. 17, 2013 (54) SRAM SENSE AMPLIFIER 5,550,777 A * 8/1996 Tran... 365,205 5,627,789 A 5, 1997

More information

NXP. P5CC052 Secure Contact PKI Smart Card Controller. Analog Circuit Analysis

NXP. P5CC052 Secure Contact PKI Smart Card Controller. Analog Circuit Analysis NXP P5CC052 Secure Contact PKI Smart Card Controller Analog Circuit Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 Fax: 613.829.0515 www.chipworks.com Some of the information

More information

VLSI Design 11. Sequential Elements

VLSI Design 11. Sequential Elements VLSI esign Last module: Wire resistance and capacitance RC delay Wire gineering This module Floorplanning (basic physical design determines wires) Sequtial circuit design Clock skew Floorplan How do you

More information