through Electrical Performance Assessment Principal AE Aug

Size: px
Start display at page:

Download "through Electrical Performance Assessment Principal AE Aug"

Transcription

1 An Alternative for Design Checking through Electrical Performance A Assessment t y Wu Paddy Principal AE Aug

2 Agenda The Package/PCB Electrical Performance Checking Challenge Allegro Sigrity Integration for Package/PCB Checking Flow Electrical Performance Checking for PKG/PCB items- Trace Impedance / Coupling Check Electrical Performance Checking for PKG items- Power/Ground Inductance Power/Ground Current Density Customer real case Summary Cadence Design Systems, Inc. All rights reserved.

3 The Electrical Performance Checking Challenge Two basic q questions and request q for high g speed p signals g Impedance & Timing 10Layers FCBGA 4L PCB 22x12cm How fast can you check these Layouts performance? Cadence Design Systems, Inc. All rights reserved.

4 Layout and Analysis Engineers Co-work Flow Different tool environments Different languages Layout Engineer Analysis Engineer Provide layout v1 Iteration Manufacture rule Constraint rule Route-ability Request to modify layout Performance (Electrical,themal,..) Timing Impedanced Cadence can provide the seamless working environment Cadence Design Systems, Inc. All rights reserved.

5 Analyzed/Checking Work Flow (Cont d) One layout, one model build One kind of simulation, one model build Model Build (60mins) Model Sim. (? Mins.) Data Analysis Provide Rule Cadence can provide model re-used function for specific simulation Cadence Design Systems, Inc. All rights reserved.

6 Analyzed/Checking Work Flow Time consumed for model build and exchanged Tool A Impedance Checking? Tool B I/O P/G RLC Checking Model build- 60mins Model build- 60mins Electrical l Engineer Layout version1 Layout version2 Model build- 60mins Model build- 60mins Tool C Current density Checking Tool D Timing Checking? Cadence Design Systems, Inc. All rights reserved.

7 Allegro Sigrity Integration for Checking Flow Optimized Design More Faster Timingi Checking XIM, PowerSI, Speed2000 PowerDC Thermal Checking XIM, PowerDC Current desity Checking.SPD file 60mins Impedance Checking P/G per pin RLC Checking XIM, PowerSI, Speed200 P/G RLC Checking XIM XIM Cadence Design Systems, Inc. All rights reserved.

8 What is Allegro Sigrity Suite Edits can be made in base tool and quickly investigated in XIM, PDC, 3D-EM, Cadence Design Systems, Inc. All rights reserved.

9 Agenda The Package/PCB Electrical Performance Checking Challenge Allegro Sigrity Integration for Package Checking Flow Electrical Performance Checking for PKG/PCB items- Trace Impedance / Coupling Check Electrical Performance Checking for PKG items- Power/Ground Inductance Power/Ground Current Density Customer real case Summary Cadence Design Systems, Inc. All rights reserved.

10 Electrical Checking for PKG/PCB items - Trace Impedance --- function1 Impedance are displayed along the length of the nets Potential issue Top-to-bottom layer transition dogleg traces do not have good reference planes A A top-to-bottom transitions Cadence Design Systems, Inc. All rights reserved.

11 Electrical Checking for PKG/PCB items - Trace Impedance --- function2 Fast find out the impedance discontinuity location Potential issue The traces do not have the same trace width Layer1 Impedance value Impedance table Cadence Design Systems, Inc. All rights reserved.

12 Electrical Checking for PKG/PCB items - Trace Impedance --- Applications i Find the each groups impedance and define limited impedance zone Simulation time 3 min. Impedance plot DDR_DQ9 Spec Cadence Design Systems, Inc. All rights reserved.

13 Electrical Checking for PKG/PCB items - Trace Impedance --- Applications i Fast find out the numbers of impedance discontinuity on each nets Layer1 impedance Impedance table More discontinuities, SI more worse Cadence Design Systems, Inc. All rights reserved.

14 Electrical Checking for PKG/PCB items - Trace Timing i Different languages Complicated relationships mil mv & ps Layout Design Rules multiple individual geometry-based Layout SI Performance collective combined electrical-based Cadence Design Systems, Inc. All rights reserved.

15 Electrical Checking for PKG/PCB items - Trace Timing i --- Applications i Find the each nets and groups timings Timing table DDR_DQ0 per layer timing Group1 Group2 Group3 These timing table can give electrical/layout engineers with the same languages. Group Cadence Design Systems, Inc. All rights reserved.

16 Electrical Checking for PKG/PCB items - Trace Coupling --- Applications i Coupling is defined with Near-ended ended Crosstalk as a victim. User can define the coupling coefficient for each of nets Cadence Design Systems, Inc. All rights reserved.

17 Agenda The Package/PCB Electrical Performance Checking Challenge Allegro Sigrity Integration for Package Checking Flow Electrical Performance Checking for PKG/PCB items- Trace Impedance / Coupling Check Electrical Performance Checking for PKG items- Power/Ground Inductance Power/Ground Current Density Customer real case Summary Cadence Design Systems, Inc. All rights reserved.

18 Electrical Performance Checking for PKG items - XtractIM Electrical l Performance Assessment (EPA) 1 XtractIM EPA mode 2 For Signal Analysis Impedance and discontinuity, Trace timing Coupling co-efficient For P/G Analysis Per net-pair properties Per pin-based properties 3 For DC Current Analysis Check DC current density IR drop Cadence Design Systems, Inc. All rights reserved.

19 For P/G Analysis (Cont d) - Per net-pair properties --- function1 6-layer side-by-side flipchip package Run time 1 hour. One common reference GND (ph) Net Die-1 Die-2 VDD VCCQ Die-1 Die-2 Find worse loop inductance & unbalance inductance! Cadence Design Systems, Inc. All rights reserved.

20 For P/G Analysis (Cont d) - Per net-pair properties --- function2 6-layer single-die flipchip package Find which ground net with the minimum loop inductance. Layer4 Layer5 Layer6 GNDA VCC25A GND VCC2IO Wrong ground net for VCC25A!! Cadence Design Systems, Inc. All rights reserved.

21 For P/G Analysis (Cont d) - Per pin-based properties Assess Bump/BGA pin properties Self loop inductance Total loop inductance Resistance Intuitive 2D and 3D graphics Both die-side and board-side assessment With the assessment, pins with R&L higher than specified value will be found. The problematic area in the power/ground distribution system can be optimized to avoid design risk! Cadence Design Systems, Inc. All rights reserved.

22 Per-pin self loop inductance The loop inductance seen looking into one pin of the net being assessed when all other pins of all other enabled nets can serve as potential return paths. The jωl voltage at pin 3 with AC current forced into only pin 3 with return current flowing in pins {1,4,5,6,7,8}. The noise voltage at a pin due to current flow in that pin Legend VSS (ground) VDD1 (power) VDD2 (power) Identifies individually weak pins with respect to loop inductance Cadence Design Systems, Inc. All rights reserved.

23 Per-pin total loop inductance The sum of self and all mutual inductances seen looking into one pin for the net being assessed. The jωl voltage at a pin with the same AC current forced into all pins of the net being assessed. The noise voltage at a pin due to current flow in all pins of that net Legend VSS (ground) VDD1 (power) VDD2 (power) Identifies pins/areas with potentially high voltage noise under general operating conditions Cadence Design Systems, Inc. All rights reserved.

24 Per-pin R DC The DC resistance from a pin on one side of the package to the other side of the package where all pins of the same net on the other side are shorted together. For example: R 1 = R 1-ADEH,R 2 = R 2-BC,R F = R F A B C D E F G H Legend VSS (ground) VDD1 (power) VDD2 (power) Identifies individually weak pins for DC IR drop Cadence Design Systems, Inc. All rights reserved.

25 For P/G Analysis (Cont d) - Per pin-based properties --- Self loop inductance Easy to find per pin inductance Cadence Design Systems, Inc. All rights reserved.

26 For P/G Analysis (Cont d) - Per pin-based properties --- Self loop inductance 6-layer flipchip p package VCC25A to GNDA VCC25A to GND For the per-pin p results, the lump inductance of VCC25A/GND should be smaller than VCC25A/GNDA Cadence Design Systems, Inc. All rights reserved.

27 For P/G Analysis (Cont d) - Per pin-based properties --- Total loop inductance Find the power pin with the lowest coupling Per-pin Self inductance Total inductance Per-pin Self inductance 2D plot Link the minimum loop inductance for the critical nets Cadence Design Systems, Inc. All rights reserved.

28 For DC Current Analysis (Cont d) IR drop Calculate l IR drop on vias, traces and planes Identify IR drop bottleneck area Current density Calculate current density on vias, traces and planes Identify high current density area that exceeds limit Avoid regional over-heat caused by high current density Cadence Design Systems, Inc. All rights reserved.

29 For DC Current Analysis (Cont d) - Check DC Current Density 4-layer wirebond package Layout Via current density Plane current density Cadence Design Systems, Inc. All rights reserved.

30 For DC Current Analysis (Cont d) - Check Thermal Effect (PowerDC) 4-layer wirebond package Layout Via temperature Plane temperature Hot spot Head spread Hot spot Cadence Design Systems, Inc. All rights reserved.

31 Agenda The Package/PCB Electrical Performance Checking Challenge Allegro Sigrity Integration for Package Checking Flow Electrical Performance Checking for PKG/PCB items- Trace Impedance / Coupling Check Electrical Performance Checking for PKG items- Power/Ground Inductance Power/Ground Current Density Customer real case Summary Cadence Design Systems, Inc. All rights reserved.

32 The P/G performance checking flow for AC field PKG Layout XIM-EPA Check Net-based Inductance Pass Spec. Done PDC-Current density Equal current mode XIM-EPA Check Pin-based PDC-Current density Equal voltage mode Layout Modified Cadence Design Systems, Inc. All rights reserved.

33 The P/G performance checking flow for DC field PKG Layout XIM-EPA P/G DC current check PowerDC- P/G DC current check Via current by layer Voltage distribution by layer Plane current density by layer Via current by layer Voltage distribution by layer Plane current density by layer Via/trace/shape constraint DC IR drop table DC current vector show IR drop/ via current /trace current Pass Spec. Done Layout Modified Cadence Design Systems, Inc. All rights reserved.

34 Step1 - Net based inductance checking 745L FCCSP 13.5x13.5mm layers Bump height: ht 90um (Sim.1); 40um (sim.2) Simulation time 5 mins Net VDD VDD_AP VDD_APMEM VDD_DDRDDR VDD_G3D VDD_ON Spec Sim Sim Unit:pH Cadence Design Systems, Inc. All rights reserved.

35 Step2 Pin-based inductance checking VDD_G3D/VSS per pin-based properties imulation time 24 mins VDD_G3D bump side VSS bump side VDD_G3D ball side VSS ball side Cadence Design Systems, Inc. All rights reserved.

36 VDD_G3D/VSS DC current density plot DC current density plot checking Simulation time 24 mins PDC-Equal voltage mode PDC-Equal current mode Find minimum DC-R path Find maximum IR drop Cadence Design Systems, Inc. All rights reserved.

37 VDD_G3D/VSS DC current density plot PDC current plot Simulation time 2 mins Original Net Spec. VDD_G3D 20pH Sim Sim.2 (Lower bump) Sim.3 Add via with lower bump Modified Add via Cadence Design Systems, Inc. All rights reserved.

38 VDD_DDR/VSS loop inductance reduction Add/Change VDD_DDR/VSS ball locations Simulation time 5 mins Net Spec. Sim.1 Sim.2 (lower bump) Sim.3 Sim.4 VDD_ DDR 130pH 161.2pH 156.7pH 122.8pH 111.7pH Original Modified sim3 sim Cadence Design Systems, Inc. All rights reserved.

39 Agenda Package Performance Checking Challenge Allegro Sigrityit Integration ti for Package Checking Flow Package Performance Checking Items- Impedance / Trace Timing Power/Ground Inductance Power/Ground Current Density Thermal Effect ASE Case Studied Results & ASI Live Demo Summary Cadence Design Systems, Inc. All rights reserved.

40 Summary Allegro + Sigrity enables seamless physical and electrical design flow Easy for use Well layout version control for simulation Fast for simulation Fast to find and optimize potential risk Impedance/ Trace Timing Power/Ground Inductance Power/Ground Current Density Thermal Effect Cadence Design Systems, Inc. All rights reserved.

41

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Case Study Package Design & SI/PI analysis

Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Noise Constraint Driven Placement for Mixed Signal Designs William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Introduction OUTLINE Substrate Noise: Some Background Substrate Noise Network

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Introduction to EMI/EMC Challenges and Their Solution

Introduction to EMI/EMC Challenges and Their Solution Introduction to EMI/EMC Challenges and Their Solution Dr. Hany Fahmy HSD Application Expert Agilent Technologies Davy Pissort, K.U. Leuven Charles Jackson, Nvidia Charlie Shu, Nvidia Chen Wang, Nvidia

More information

W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis

W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis Keysight Technologies Advanced Design System (ADS) W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis Data Sheet Composite EM technology delivers high-accuracy and

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

PCB Routing Guidelines for Signal Integrity and Power Integrity

PCB Routing Guidelines for Signal Integrity and Power Integrity PCB Routing Guidelines for Signal Integrity and Power Integrity Presentation by Chris Heard Orange County chapter meeting November 18, 2015 1 Agenda Insertion Loss 101 PCB Design Guidelines For SI Simulation

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign Fast Flip-Chip Pin-Out esignation Respin by Pin-Block esign and Floorplanning for Package-Board Codesign Ren-Jie Lee, Ming-Fang Lai and Hung-Ming Chen epartment of Electronics Engineering and SoC Research

More information

Adding On-Chip Capacitance in IBIS Format for SSO Simulation

Adding On-Chip Capacitance in IBIS Format for SSO Simulation Adding On-Chip Capacitance in IBIS Format for SSO Simulation Raymond Y. Chen SIGRITY, Inc. Jan. 2004 DesignCon 2004 - IBIS Summit Presentation Agenda 1. Is IBIS good for SSO simulation 2. SSO simulation

More information

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor FPGA World Conference Stockholm 08 September 2015 John Steinar Johnsen -Josse- Senior Technical Advisor Agenda FPGA World Conference Stockholm 08 September 2015 - IPC 4101C Materials - Routing out from

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

High-Speed Differential Interconnection Design for Flip-Chip BGA Packages

High-Speed Differential Interconnection Design for Flip-Chip BGA Packages High-Speed Differential Interconnection Design for Flip-Chip BGA Packages W.L. Yuan, H.P. Kuah, C.K. Wang, Anthony Y.S. Sun W.H. Zhu, H.B. Tan, and A.D. Muhamad Packaging Analysis and Design Center United

More information

POWER DELIVERY MODEL OF TEST PROBE CARDS

POWER DELIVERY MODEL OF TEST PROBE CARDS POWER DELIVERY MODEL OF TEST PROBE CARDS Habib Kilicaslan (hkilicaslan@kns.com) Bahadir Tunaboylu (btunaboylu@kns.com) Kulicke & Soffa Industries June 5, 2005 2005 Southwest Test Workshop 1 Overall system

More information

PI6C PCI Express Clock. Product Features. Description. Block Diagram. Pin Configuration

PI6C PCI Express Clock. Product Features. Description. Block Diagram. Pin Configuration Product Features ÎÎLVDS compatible outputs ÎÎSupply voltage of 3.3V ±10% ÎÎ5MHz input frequency ÎÎHCSL outputs, 0.7V Current mode differential pair ÎÎJitter 60ps cycle-to-cycle (typ) ÎÎSpread of ±0.5%,

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent?

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent? EE29C Spring 2 Lecture 2: High-Speed Link Overview and Environment Eye Diagrams V V t b This is a This is a V e Eye Opening - space between and Elad Alon Dept. of EECS t e With voltage noise With timing

More information

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS EE290C Spring 2011 Lecture 2: High-Speed Link Overview and Environment Elad Alon Dept. of EECS Most Basic Link Keep in mind that your goal is to receive the same bits that were sent EE290C Lecture 2 2

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

AMCHIP5 characterization tests

AMCHIP5 characterization tests AMCHIP6 status AMCHIP5 characterization tests Characterization results demonstrate the functionality of the AMCHIP5 @ 2 Gbit with a single event and by using the XORAM cell LV_cell seems to have some issue

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

CQ-3 Series Current Sensor Application Note

CQ-3 Series Current Sensor Application Note Sensing Products Division August, 28, 2017 CQ-3 Series Current Sensor Application Note 0. Overview This document provides application note of Asahi-kasei s current sensor CQ-3 series (including CQ-330x

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation DesignCon 2008 FPGA I/O Timing Variations Due to Simultaneous Switching Outputs Zhe Li, Altera Corporation ZLI@altera.com, 408-544-7762 Iliya Zamek, Altera Corporation izamek@altera.com, 408-544-8116 Peter

More information

Decoupling capacitor uses and selection

Decoupling capacitor uses and selection Decoupling capacitor uses and selection Proper Decoupling Poor Decoupling Introduction Covered in this topic: 3 different uses of decoupling capacitors Why we need decoupling capacitors Power supply rail

More information

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing...

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing... PI3DPX1207B Layout Guideline Table of Contents 1 Layout Design Guideline... 2 1.1 Power and GROUND... 2 1.2 High-speed Signal Routing... 3 2 PI3DPX1207B EVB layout... 8 3 Related Reference... 8 Page 1

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design Power integrity is more than decoupling capacitors The Power Integrity Ecosystem Keysight HSD Seminar Mastering SI & PI Design Signal Integrity Power Integrity SI and PI Eco-System Keysight Technologies

More information

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc.

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Overcoming Obstacles to Closing Timing for DDR3-1600 and Beyond John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Agenda Timing budgets 1600 2133Mbps? Static vs. Dynamic Uncertainty Sources Benefits of

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems DesignCon 2019 Effect of Power Plane Inductance on Power Delivery Networks Shirin Farrahi, Cadence Design Systems shirinf@cadence.com, 978-262-6008 Ethan Koether, Oracle Corp ethan.koether@oracle.com Mehdi

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

LMH6533 Four Channel Laser Diode Driver

LMH6533 Four Channel Laser Diode Driver LMH6533 Four Channel Laser Diode Driver Circuit Description GENERAL The LMH 6533 is a 4-channel-input, dual-output laser driver. The dual outputs are meant to drive two different laser diodes, one for

More information

Quick guide to Power. V1.2.1 July 29 th 2013

Quick guide to Power. V1.2.1 July 29 th 2013 Quick guide to Power Distribution ib ti Network Design V1.2.1 July 29 th 2013 High level High current, high transient Power Distribution Networks (PDN) need to be able to respond to changes and transients

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Impedance-Controlled Routing. Contents

Impedance-Controlled Routing. Contents Impedance-Controlled Routing Contents Do I Need Impedance Controlled Routing? How do I Control the Impedances? Impedance Matching the Components What Determines the Routing Impedance? Calculating the Routing

More information

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation EMI/EMC of Entire Automotive Vehicles and Critical PCB s Makoto Suzuki Ansoft Corporation WT10_SI EMI/EMC of Entire Automotive Vehicles and Critical PCB s Akira Ohta, Toru Watanabe, Benson Wei Makoto Suzuki

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

PCI-EXPRESS CLOCK SOURCE. Features

PCI-EXPRESS CLOCK SOURCE. Features DATASHEET ICS557-01 Description The ICS557-01 is a clock chip designed for use in PCI-Express Cards as a clock source. It provides a pair of differential outputs at 100 MHz in a small 8-pin SOIC package.

More information

Why do we need to study Signal Integrity, Power Integrity and EMI ALL-AT-ONCE?

Why do we need to study Signal Integrity, Power Integrity and EMI ALL-AT-ONCE? Why do we need to study Signal Integrity, Power Integrity and EMI ALL-AT-ONCE? Hany Fahmy Riccardo Giacometti Cédric Pujol EMI HSD Signal Integrity Power Integrity An Example of Dramatic increase in HSD

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

ICS LOW EMI CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS LOW EMI CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS180-51 Description The ICS180-51 generates a low EMI output clock from a clock or crystal input. The device uses IDT s proprietary mix of analog and digital Phase-Locked Loop (PLL) technology

More information

Strategies for High Density and High Speed Packaging. Ride the Wave Workshop

Strategies for High Density and High Speed Packaging. Ride the Wave Workshop Strategies for High Density and High Speed Packaging Ride the Wave Workshop Topics! Trends in Packaging! Common Design Challenges! Design through Software! Supply Plane Analysis with SIwave! Non-ideal

More information

Device-Specific Power Delivery Network (PDN) Tool User Guide

Device-Specific Power Delivery Network (PDN) Tool User Guide Device-Specific Power Delivery Network (PDN) Tool User Guide Device-Specific Power Delivery Network (PDN) Tool User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01064-1.1 Subscribe 2012

More information

ICS PCI-EXPRESS CLOCK SOURCE. Description. Features. Block Diagram DATASHEET

ICS PCI-EXPRESS CLOCK SOURCE. Description. Features. Block Diagram DATASHEET DATASHEET ICS557-0 Description The ICS557-0 is a clock chip designed for use in PCI-Express Cards as a clock source. It provides a pair of differential outputs at 00 MHz in a small 8-pin SOIC package.

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

10 Safety earthing/grounding does not help EMC at RF

10 Safety earthing/grounding does not help EMC at RF 1of 6 series Webinar #3 of 3, August 28, 2013 Grounding, Immunity, Overviews of Emissions and Immunity, and Crosstalk Contents of Webinar #3 Topics 1 through 9 were covered by the previous two webinars

More information

PI6C557-03AQ. PCIe 2.0 Clock Generator with 2 HCSL Outputs for Automotive Applications. Description. Features. Pin Configuration (16-Pin TSSOP)

PI6C557-03AQ. PCIe 2.0 Clock Generator with 2 HCSL Outputs for Automotive Applications. Description. Features. Pin Configuration (16-Pin TSSOP) PCIe.0 Clock Generator with HCSL Outputs for Automotive Applications Features ÎÎPCIe.0 compliant à à Phase jitter -.1ps RMS (typ) ÎÎLVDS compatible outputs ÎÎSupply voltage of 3.3V ±10% ÎÎ5MHz crystal

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Guidelines to Keep ADC Resolution within Specification

Guidelines to Keep ADC Resolution within Specification Guidelines to Keep ADC Resolution within Specification 1. Introduction This application note describes how to optimize the ADC hardware environment in order not to alter the intrinsic ADC resolution and

More information

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Richard Crisp 1, Bill Gervasi 2, Wael Zohni 1, Bel Haba 3 1 Invensas Corp, 2902 Orchard Parkway,

More information

3dB HYBRID COUPLER. Amplitude Balance db (max) ± ± to +85

3dB HYBRID COUPLER. Amplitude Balance db (max) ± ± to +85 FEATURES High Power Low Profile Surface Mount Package Very Low Insertion Loss Excellent Amplitude and Phase Balance High Isolation RoHS Tape and Reel for High Volume Production APPLICATIONS Power Amplifiers

More information

EMC problems from Common Mode Noise on High Speed Differential Signals

EMC problems from Common Mode Noise on High Speed Differential Signals EMC problems from Common Mode Noise on High Speed Differential Signals Bruce Archambeault, PhD Alma Jaze, Sam Connor, Jay Diepenbrock IBM barch@us.ibm.com 1 Differential Signals Commonly used for high

More information

Power Delivery Network (PDN) Tool for Stratix IV Devices User Guide

Power Delivery Network (PDN) Tool for Stratix IV Devices User Guide Power Delivery Network (PDN) Tool for Stratix IV Devices User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 1.0 Document Date: March 2009 Copyright 2009 Altera Corporation.

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors

Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors Charles R Sullivan and Yuqin Sun Thayer School of Engineering Dartmouth College http://power.thayer.dartmouth.edu/ Introduction Why

More information

PI6C557-03B. PCIe 3.0 Clock Generator with 2 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TSSOP) Block Diagram

PI6C557-03B. PCIe 3.0 Clock Generator with 2 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TSSOP) Block Diagram Features ÎÎPCIe 3.0 compliant à à PCIe 3.0 Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible outputs ÎÎSupply voltage of 3.3V ±10% ÎÎ5MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V

More information

1 Introduction External Component Requirements AC Coupling Capacitors on high speed lanes... 2

1 Introduction External Component Requirements AC Coupling Capacitors on high speed lanes... 2 PI3TB212 PI3TB212 Thunderbolt Application Information Table of Contents 1 Introduction... 2 2 External Component Requirements... 2 2.1 AC Coupling Capacitors on high speed lanes... 2 2.2 Pull-down Resistor

More information

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position,

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position, Resonance Simulation in PI Design Xiao Dan Nokia Abstract PCB power-ground resonance theory is introduced and relationship between Z simulated parameter and resonance frequency is discussed based on the

More information

ICS LOW EMI CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS LOW EMI CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS180-01 Description The ICS180-01 generates a low EMI output clock from a clock or crystal input. The device uses IDT s proprietary mix of analog and digital Phase Locked Loop (PLL) technology

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products Introduction The differential trace impedance of HDMI is specified at 100Ω±15% in Test ID 8-8 in HDMI Compliance Test Specification Rev.1.2a and

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Low-Cost PCB Design 1

Low-Cost PCB Design 1 Low-Cost PCB Design 1 PCB design parameters Defining PCB design parameters begins with understanding: End product features, uses, environment, and lifetime goals PCB performance, manufacturing, and yield

More information