AMCHIP5 characterization tests

Size: px
Start display at page:

Download "AMCHIP5 characterization tests"

Transcription

1 AMCHIP6 status

2 AMCHIP5 characterization tests Characterization results demonstrate the functionality of the 2 Gbit with a single event and by using the XORAM cell LV_cell seems to have some issue of metastability XORAM cells have been chosen for the AMCHIP6

3 AMCHIP5 tests: TODO list Tests is still ongoing o different temperatures o different power supply ( 10%) Some preliminary tests: some 930 mv (typical case is 1.0 V) MUST investigate it!

4 Foundation flow (place & route)

5 Design complexity mm x mm about 421 millions of transistors 20.5 millions of standard cells Total wire length: about 357 meters 964 pads 4096 XORAM block (full-custom design) transistors 22.4 knets

6 Design complexity vs CPUs mm x mm about 421 millions of transistors 20.5 millions of standard cells Total wire length: about 357 meters 964 pads 4096 XORAM block (full-custom design) transistors 22.4 knets Core 2 Duo Conroe 291,000, Intel 65 nm 143 mm² Itanium 2 Madison 6M 410,000, Intel 130 nm 374 mm² Core 2 Duo Wolfdale 411,000, Intel 45 nm 107 mm² AMCHIP6 421,000, FTK 65 nm 168 mm² Itanium 2 with 9 MB cache 592,000, Intel 130 nm 432 mm² Core i7 (Quad) 731,000, Intel 45 nm 263 mm²

7 Place & Route (Backend) approach Hierarchical approach (bottom up) o needed to reduce computation time Two main block exists: o 2k-pattern block instantiated 64 times o top block Three place & route flow are needed: o one for 2k-pattern block o o one for top block one for the assembled design

8 Place & Route approach Serializer - Deserializer 2k-pattern block

9 2k pattern layout XORAM full custom block containing 64 half patterns Power supplies (VDDfc, VDDcore, and VSS) are routed in vertical stripes

10 2k pattern routing Foundation Flow for this block has been completed! Static timing analysis met the timing constraints

11 Design complexity reduction Standard cell count: o TOP block: 3092 kcells o 2k block: 272 kcells o TOTAL: 20.5 millions Total wire length: o TOP block: 47.5 m o 2k block: 4.8 m o TOTAL: about 357 m

12 AMCHIP: place & route automatic design Hierarchical approach: o o o 2k pattern block: OK TOP with 2k pattern black box: almost OK TOP assembled with the 2k pattern block: may be problematic for the high complexity

13 Simulation power consumption XOR-RAM 1V baseline, leakage (ma) clock distrib (ma) std cell, not bitline prop (ma) bitline propagation (ma) This values have been confirmed by the test measurements!! clock prop. XORAM (ma) SRAM consumption (ma) Total (ma) Voltage (V) Total (W) alfa factor (96%) correction

14 Digital simulation for the validation Computation time with ncsim: o 33 hours for running 4k pattern events o 5 or 6 hours to find bugs

15 We are waiting from ASE + IMEC In last days we submit to IMEC: the dummy tape out to fit the reticle of the wafer: OK the project for a further ESD review the bump assignment for the package (substrate) quotation

16 TODO Design (Milano + Parigi): Run place & route foundation flow in Encounter: 3 weeks o implementation, timing static analysis, time delay extraction Run analog and Encounter Voltus Software (IR drop and electromigration) simulations: 2 weeks Run digital back-annotate simulations: 1-2 weeks DRC & LVS: few days Fabrication (IMEC + ASE + TSMC): Design of package substrate : 2 weeks Assembly: 4 weeks Tooling: 8 weeks Die fabrication: 4 weeks Tests (Milano + Frascati): Chip characterization: 8 or more week Repetitive Tests (20k chip): IMPORTANT contact industry (e.g. Microtest) 8 or more weeks

17 Gantt s diagram

18 Schedule for tests 1) To define a strategy for PRR (production readiness ATLAS Feb ) Contact industry for repetetive tests of 20 kchips before to get the chip at home

19 Backup More technical details

20 First chip floorplan chip size: um x um # of IOs cells: Deserializer (DES_0625TS65LPSSCG) + Serializer (SER_0625TS65LPSSCG) = 11 VDDA = 11 VDDH = 11 VSSS = 22 RX = 20 TX = 2 Single-ended (PDDW0204SCDG) = 10 Analog IO (PDB2A) = 2 clk = 2 Digital power core (PVDD1CDG) = 338 VDDfc = 159 VDDcore = 167 VDDserdes = 12 Digital ground core (PVSS3CDG) = 461 VSS = 461 Digital power IO (PVDD2CDG) = 93 VDDIO = 93 Analog power core (PVDD3A) = 2 VDDA_BGREF = 1 VDDA_LVDS = 1 Analog ground core (PVSS3A) = 3 VSS_BGREF = 1 VSS_LVDS = 2 (come/perche scalano con i consumi?)

21 Bump feature Eutectic ball has been chosen o Library is APRDL o The cell is PAD90APB (diameter = 90 um) Problem: is too small to guarantee the minimum density of Under Ball Metal (UBM). Density across full chip >= 0.09 Real value is only we will move on the more large ball PAD108APB (diameter 108 um) the array: o is a full matrix array with 22 rows and 36 columns o has been created on the core area (coord LL: -70.0,0 UR: 14680,10890) o has a bump pitch of 400 um (horizontal) and 480 um (vertical) o has a edge spacing of dx = 360 and dy = 400

22 First bump assignment Number of bumps: VSS = 313 VDDfc = 206 VDDcore = 199 VDDserdes = 4 VDDA = 6 VDDH = 6 VSSS = 18 VDDA_LVDS = 1 VSS_LVDS = 1 VDDA_BGREF = 1 VSS_BGREF = 1 differential = 24 single-ended = 10 TOTAL = 792

23 last bump assignment VDDcore VDDH + VDDA VSS + VSSS VDDfc VSS VDDcore VDDcore Number of bumps: VSS = 524 VDDfc = 313 VDDcore = 271 VDDserdes = 3 VDDA = 8 VDDH = 6 VSSS = 15 VSS VDDfc VSS VDDcore VSS VDDA_LVDS = 1 VSS_LVDS = 1 VDDA_BGREF = 1 VSS_BGREF = 1 differential = 24 single-ended = 10 TOTAL = 1178 VDDfc

24 Maximum density current AP is I max = 2.7 * width [ma] Mz is I max = 8 * width [ma] Mx is I max = 1.8 * width [ma] M1 is I max = 1.5 * width [ma] RV (via between AP & M6) is I max = 7 per RV Vx I max = per via Vz I max = per via

25 Max current density per bump current [ma] number of bumps current per bump [ma] max current per bump VDDA VDDH VSSS VDDcore VDDfc VSS VDDserdes negligible VDD_LVDS negligible VSS_LVDS negligible VDD_BGREF negligible

26 First power routing approach Power routing ring between IO cells and core composed by 3 M6 stripes (9 um): VSS VDDcore VDDfc Ten horizontal AP stripes (35 um - spaced of 2 um) between bumps Different vertical connection towards and from bumps (cluster with groups of 4 or 2 bumps)

27 Power routing approach Five RV (vias); Inside a 2k pattern block: 13 stripes - M6 (1 um) for VDDcore 8 stripes - M6 (9 um) for VDDfc 5 stripes - M6 (9 um) for VSS The connection from/to the cell arrays is made upon the horizontal routing channel in M6 We place VSS VDDcore VDDfc alternatively: max distance from RV via = 3 blocks of 2k pattern cells =927 um (block height) um (horz-routing channel height)

28 Update power consumption estimation Calculation with the first floorplan has be made with the typical case simulation multiplied x2 or Worst-power + 50% - run analog simulations with the aim to estimate fullcustom block power (RMS, peak) - scale stripes width by considering peak and average (peak between RMS and max/10) - Run power consumption simulation from Encounter Power software (IR drop & electromigration analysis)

29 PClamp After the review with IMEC. Gabriel suggest us to place ESD diodes among bumps. Strict rule: less than 0.1 Ohm from bump PCLAMP cell!

30 PClamp After the review with IMEC. Gabriel suggest us to place ESD diodes among bumps. Strict rule: less than 0.1 Ohm from bump PCLAMP cell!

31 PClamp After the review with IMEC. Gabriel suggest us to place ESD diodes among bumps. Strict rule: less than 0.1 Ohm from bump PCLAMP cell!

32 Thermal sim Slide of Bart Cox will be upload for the end-of- November s review

33 Package AM05: HSBGA wirebonded chip AM06: HFCBGA flip chip Thermally enhanced FCBGA, is the composite package of FCBGA with heatspreader made of Cu, Al, or AlSiC. This method desensitizes the performance deviation out of the chip size, lowers the thermal resistance of junction-to-case and enables the external heat sink or fan to work more effectively. HFCBGA can produce 6~8 watts of power dissipation under natural convection. Task of IMEC & ASE

34 Maximum die size vs package For the HSBGA 23x23 wirebond, the maximum die size is 12x12mm. For the HFCBGA 23x23 -flip chip, the maximum die size is 15.6x15.6mm, so it will be okay for AM06. Our last Floorplan is: um x um HFCBGA is the unique solution also by considering the thermal dissipation

35 Ball assignment Is very different from bump assignment Dummy tape out and substrate estimation under work by IMEC We would like to maintain the same assignment of AMCHIP05

36 Maximum current per ball and bump The maximum current for the BGA 23x23, ball diameter at 0.45mm is 2.23A The maximum current for the bump of 108 um is 200 ma. For AM06, the maximum current estimation is 75 ma per ball and 32 ma per bump, it is still safe within the spec limit.

37 Layout routing details

38 Layout details VSSS isolations for LVDS links

39 JTAG and controls routing

40 Clk and BGref routing

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency. SoC Design ICE of silicon Computational efficiency [MOPS/W] 10 6 [Roza] 10 5 Intrinsic computational efficiency 3DTV 10 4 10 3 10 2 10 1 i386sx 601 604 604e microsparc Ultra sparc i486dx P5 Super sparc

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Case Study Package Design & SI/PI analysis

Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

High Temperature Mixed Signal Capabilities

High Temperature Mixed Signal Capabilities High Temperature Mixed Signal Capabilities June 29, 2017 Product Overview Features o Up to 300 o C Operation o Will support most analog functions. o Easily combined with up to 30K digital gates. o 1.0u

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

through Electrical Performance Assessment Principal AE Aug

through Electrical Performance Assessment Principal AE Aug An Alternative for Design Checking through Electrical Performance A Assessment t y Wu Paddy Principal AE Aug.13 2013 Agenda The Package/PCB Electrical Performance Checking Challenge Allegro Sigrity Integration

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Custom Process Review with TEM Analysis For comments, questions, or more information about this report, or for any

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

MCC-FDR: Layout & Timing Verification

MCC-FDR: Layout & Timing Verification MCC-FDR: Layout & Timing Verification Giovanni Darbo / INFN - Genova E-mail: Giovanni.Darbo@ge ge.infn.it Talk highlights: Design Flow; Technology files; Pinout & Size; Floorplanning: Clock tree synthesis;

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

CMOS 65nm Process Monitor

CMOS 65nm Process Monitor CMOS 65nm Process Monitor Advisors: Dr. Hugh Grinolds Mr. Brian Misek Allen Chen Ryan Hoppal Phillip Misek What is Process Variation? The process parameters can vary from: Lot-to-Lot (interprocess variation)

More information

Automated Place and Route Methodologies. For Multi-project Test Chips. Christopher Lieb

Automated Place and Route Methodologies. For Multi-project Test Chips. Christopher Lieb Automated Place and Route Methodologies For Multi-project Test Chips by Christopher Lieb A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved April 2015

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

The backend duplication method

The backend duplication method The backend duplication method - A Leakage-Proof Place-and and-route Strategy for Secured ASICs - CHES Workshop August 30th September 1st 2005 Edinburgh, Scotland, UK. Sylvain GUILLEY (*), Philippe HOOGVORST

More information

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables 19-46; Rev 2; 2/8 EVALUATION KIT AVAILABLE 1Gbps to 12.Gbps General Description The is a 1Gbps to 12.Gbps equalization network that compensates for transmission medium losses encountered with FR4 and cables.

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

1.2 Gbps LVDS transmitter/receiver

1.2 Gbps LVDS transmitter/receiver SPECIFICATION 1 FEATURES TSMC CMOS 180 nm 3.3 V power supply 1.2 Gbps (DDR MODE) switching rates (600 MHz) Half-duplex or full-duplex operation mode Conforms to TIA/EIA-644 LVDS standards without hysteresis

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

12-bit 140 MSPS IQ DAC

12-bit 140 MSPS IQ DAC SPECIFICATION 1 FEATURES TSMC CMOS 65 nm Resolution 12 bit Current-sinking DAC Different power supplies for digital (1.2 V) and analog parts (2.5 V) Sampling rate up to 140 MSPS Optional internal differential

More information

Microchip PIC18F4320-I/ML Enhanced Flash Microcontroller Structural Analysis

Microchip PIC18F4320-I/ML Enhanced Flash Microcontroller Structural Analysis March 13, 2006 Microchip PIC18F4320-I/ML Enhanced Flash Microcontroller Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

A 3 Mpixel ROIC with 10 m Pixel Pitch and 120 Hz Frame Rate Digital Output

A 3 Mpixel ROIC with 10 m Pixel Pitch and 120 Hz Frame Rate Digital Output A 3 Mpixel ROIC with 10 m Pixel Pitch and 120 Hz Frame Rate Digital Output Elad Ilan, Niv Shiloah, Shimon Elkind, Roman Dobromislin, Willie Freiman, Alex Zviagintsev, Itzik Nevo, Oren Cohen, Fanny Khinich,

More information

Lecture 17. Low Power Circuits and Power Delivery

Lecture 17. Low Power Circuits and Power Delivery Lecture 17 Low Power Circuits and Power Delivery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 Ron Ho and Mark Horowitz w/ slides used from David Ayers 1 Power Delivery

More information

700 SERIES 20V BIPOLAR ARRAY FAMILY

700 SERIES 20V BIPOLAR ARRAY FAMILY Device Engineering Incorporated 385 East Alamo Drive Chandler, AZ 85225 Phone: (480) 303-0822 Fax: (480) 303-0824 E-mail: admin@deiaz.com 700 SERIES 20V BIPOLAR ARRAY FAMILY FEATURES 20V bipolar analog

More information

TTC-1002 Thermal Test Chip Applications Manual

TTC-1002 Thermal Test Chip Applications Manual COVER TTC-1002 Thermal Test Chip Applications Manual Thermal Engineering Associates, Inc. 3287 Kifer Road Santa Clara, CA 95051 USA 650-961-5900 www.thermengr.com CONTENTS Section 1 Section 2 Section

More information

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM INTEGRATED CIRCUITS 2000 Dec 01 File under Integrated Circuits ICL03 2002 Feb 19 FEATURES Stub-series terminated logic for 2.5 V (SSTL_2) Optimized for stacked DDR (Double Data Rate) SDRAM applications

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Texas Instruments BRF6350B Bluetooth Link Controller UMC 90 nm RF CMOS

Texas Instruments BRF6350B Bluetooth Link Controller UMC 90 nm RF CMOS Texas Instruments BRF6350B UMC 90 nm RF CMOS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV February 27 th 2017 In this document we describe the use of VeCS

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

700 SERIES 20V BIPOLAR ARRAY FAMILY

700 SERIES 20V BIPOLAR ARRAY FAMILY Device Engineering Incorporated 0 E. Fifth St. Tempe, AZ 858 Phone: (480) 303-08 Fax: (480) 303-084 E-mail: admin@deiaz.com 00 SERIES 0V BIPOLAR ARRAY FAMILY FEATURES 0V bipolar analog array family of

More information

UT32BS1X833 Matrix-D TM 32-Channel 1:8 Bus Switch October, 2018 Datasheet

UT32BS1X833 Matrix-D TM 32-Channel 1:8 Bus Switch October, 2018 Datasheet UT32BS1X833 Matrix-D TM 32-Channel 1:8 Bus Switch October, 2018 Datasheet The most important thing we build is trust FEATURES Interfaces to standard processor memory busses Single-chip interface that provides

More information

R D 5 3 R D 5 3. Recent Progress of RD53 Collaboration towards next generation Pixel ROC for HL_LHC

R D 5 3 R D 5 3. Recent Progress of RD53 Collaboration towards next generation Pixel ROC for HL_LHC R D 5 3 Recent Progress of RD53 Collaboration towards next generation Pixel ROC for HL_LHC L. Demaria - INFN / Torino on behalf of RD53 Collaboration 1 Talk layout 1. Introduction 2. RD53 Organization

More information

More Imaging Luc De Mey - CEO - CMOSIS SA

More Imaging Luc De Mey - CEO - CMOSIS SA More Imaging Luc De Mey - CEO - CMOSIS SA Annual Review / June 28, 2011 More Imaging CMOSIS: Vision & Mission CMOSIS s Business Concept On-Going R&D: More Imaging CMOSIS s Vision Image capture is a key

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS P. MARTIN-GONTHIER, F. CORBIERE, N. HUGER, M. ESTRIBEAU, C. ENGEL,

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Power Consumption and Management for LatticeECP3 Devices

Power Consumption and Management for LatticeECP3 Devices February 2012 Introduction Technical Note TN1181 A key requirement for designers using FPGA devices is the ability to calculate the power dissipation of a particular device used on a board. LatticeECP3

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

FAN A Adjustable/Fixed Ultra Low Dropout Linear Regulator. Description. Features. Applications. Typical Applications.

FAN A Adjustable/Fixed Ultra Low Dropout Linear Regulator. Description. Features. Applications. Typical Applications. www.fairchildsemi.com 5A Adjustable/Fixed Ultra Low Dropout Linear Regulator Features Ultra Low dropout voltage,.4v typical at 5A 1.2V Versions available for GTL termination Remote sense operation Fast

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Texas Instruments THS7530PWP Gain Amplifier Structural Analysis

Texas Instruments THS7530PWP Gain Amplifier Structural Analysis March 1, 2005 Texas Instruments THS7530PWP Gain Amplifier Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program Product Catalog Semiconductor Intellectual Property & Technology Licensing Program MANUFACTURING PROCESS TECHNOLOGY OVERVIEW 90 nm 130 nm 0.18 µm 0.25 µm 0.35 µm >0.40 µm Logic CMOS SOI CMOS SOI CMOS SOI

More information

13700DF 13 Gbps D Flip-Flop Data Sheet

13700DF 13 Gbps D Flip-Flop Data Sheet 13700DF 13 Gbps D Flip-Flop Data Sheet Applications High-speed (up to 13 GHz) digital logic High-speed (up to 13 Gbps) serial data transmission systems Broadband test and measurement equipment Features

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

12-bit 50/100/125 MSPS 1-channel ADC

12-bit 50/100/125 MSPS 1-channel ADC SPECIFICATION 1 FEATURES TSMC CMOS 65 nm High speed pipelined ADC Resolution 12 bit Conversion rate 50/100/125 MHz Different power supplies for digital (1.2 V) and analog (1.2 V) parts Low standby current

More information

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering An Introduction to Electronics Systems Packaging Prof. G. V. Mahesh Department of Electronic Systems Engineering India Institute of Science, Bangalore Module No. # 02 Lecture No. # 08 Wafer Packaging Packaging

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V)

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V) SPECIFICATION 1 FEATURES Global Foundries CMOS 55 nm Low drop out Low current consumption Two modes operations: Normal, Economy Mode operation Bypass No discrete filtering capacitors required (cap-less

More information

SPADIC 1.0. Tim Armbruster. FEE/DAQ Workshop Mannheim. January Visit

SPADIC 1.0. Tim Armbruster. FEE/DAQ Workshop Mannheim. January Visit SPADIC 1.0 Tim Armbruster tim.armbruster@ziti.uni-heidelberg.de FEE/DAQ Workshop Mannheim Schaltungstechnik Schaltungstechnik und und January 2012 Visit http://www.spadic.uni-hd.de 1. SPADIC Architecture

More information