SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries*

Size: px
Start display at page:

Download "SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries*"

Transcription

1 SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* M. P. Baze, J. C. Killens, R. A. Paup, W. P. Snapp Boeing Space and Communications Seattle, WA * Work supported by DTRA contract DTRA01-00-C-0046

2 Multi-Fab IC Design Environment Multi-Fab environments may be Manufacturing design house possessing several processes usually with some process similarity Fabless design house with access to several processes via -Single manufacturer with several processes -Third party interface, i.e. MOSIS -Independent agreements with several manufacturers 5/1/2002 2

3 Multi-Fab Issues Advantage of Multi-Fab Environment Utilization of different fabrication processes as design options Accomplished by Retargeting designs to different process technologies. or Scaling designs to smaller rules in same technology. Retargetability Ease of design transfer to different process. Bulk, EPI, N-Well, P- Well, Twin Tub, SIMOX, SOS, SOI-MESA, Twin Tub, Single Poly, Dual Poly, # Metal Layers, Resistor Types, Capacitor Types, Device Models, etc. Scalability Ease with which a design can be scaled down in feature size, without sacrificing the advantages of scaling. 5/1/2002 3

4 Retargeting and Scaling Designs Standard Digital Cell Functions VHDL Design A (8051) VHDL Design B (1773) VHDL Design C (BC30) Process A Library A Process B Library B Process B/2 Library B/2 Targeting / Scaling Synthesis 5/1/2002 4

5 Retargeting and Scaling Designs SEU Hardening cap.s, filters, redundant, Idrive, etc. HARD 2 HARD 3 HARD 1 VHDL (H2, H3) Design B (1773) VHDL(H1, H3) Design C (BC30) A-3 A-1 Process A A-2 B-1 Process B VHDL (H1, 2, 3) Design A (8051) B-2 B/2-3 Process B/2 5/1/2002 B/2-2 Targeting / Scaling Synthesis 5

6 Basic SEU Hardening Options Three Categories of SEU Hardening Techniques 1. Charge Dissipation - Consumes power 2. Temporal Filtering - Reduces speed 3. Spatial Redundancy - Consumes area Charge Dissipation & Temporal Filtering - Increase LET T Spatial Redundancy Reduce effective X-section 5/1/2002 6

7 Charge Dissipation Increase Transistor Current Drive STANDARD NAND2 VDD Sink Q COL to prevent valid pulse widths IN0 OU T where valid > register T SH IN1 VSS transistor I DRIVE > Q COL / Register T SH HARDENED NAND2 VDD Retargetability Increases area of standard cell library Scalability Speed no significant penalty Area in proportion to drive Power in proportion to drive IN0 IN1 VSS OUT 5/1/2002 7

8 Charge Dissipation Adding Capacitors in Combinational Logic 1) Keep direct hit from crossing ½ VDD - Required Cap > 2 x (Q COL / VDD) 2) Keep input transient from crossing ½ VDD Required Cap > 2 x I DRIVE x PW / VDD : however since PW ~ Q COL / I DRIVE upstream, then IN0 IN1 - Required Cap > 2 x (Q COL / VDD), independent of global I DRIVE sizing VDD + + OUT Capacitor Set Hardness Cap> 2 x (Q COL / VDD) Trade power vs speed with global transistor sizing Retargetability Implemented by adding/sizing cap s to standard soft library Scalability Speed, power, area penalties may negate many advantages of scaling 5/1/2002 8

9 Charge Dissipation / Temporal Filtering Adding Capacitors in sequential logic + + 1) Keep direct hit from crossing ½ VDD - Required Cap > 2 x (Q COL / VDD) 2) Lengthen T SH - short input transients invalid Register T SH > Q COL / transistor I DRIVE Capacitor Retargetability Implemented by adding/sizing cap s to standard soft library Scalability Speed, power penalties may negate many advantages of scaling 5/1/2002 9

10 Temporal Filtering Delay-and-Vote in Combinational logic Network delay Voting Circuit out delay delay Total Delay = 2 x Error Pulse Width ~ 2 x (Q COL / I DRIVE ) if I DRIVE = 0.25 ma and Q COL = 0.4 pc then 2 x (Q COL / I DRIVE ) = 3.2 ns Retargetability Architecture implementation. Delay element redesign for each process. Scalability If Q COL does not scale down with I DRIVE, the required delay increases 5/1/

11 Spatial Redundancy - TMR Triple Mode Redundancy (TMR) Error on OUT requires simultaneous errors in 2 or more logic networks Logic Network Does not increase LET threshold INPUTS Logic Network Voting Circuit OUT Does reduce effective cross-section by geometric probability of multiple node hit Logic Network X-sec EFF ~ 1 / (node separation) 2 ~3X power and area penalty Retargetability Architecture implementation. Modified structural netlists and/or cells Scalability Adequate separation is critical 5/1/

12 TMR - Node Separation Triple Redundant Flip/flops Vdd Bad Layout Practice 1 D CLR Q PRE - Rail stacking of voted F/F s Vdd 2 voter Q Adjacent redundant elements 3 D CLR Q PRE 5/1/

13 TMR - Node Separation Vdd Triple Redundant Flip/flops D CL R CL K PRE D CL R CL K PRE Q D CL R CL K PRE Q Q voter Q Acceptable Layout - Sequencing of voted F/F s Places redundant elements at greater distance 5/1/

14 Internally Redundant Logic Places redundant nodes in very close proximity - Cell layout critical Isolated Well Transistors* Pshunt IN Pdrive P isolation OUT Dual Data Stream redundant logic** PA PC Vdd Vdd PB Pout PA PC Vdd Vdd PB Pout Nshunt N isolation NA Nout NA Nout Ndrive NC NB NC NB Retargetability Non-standard library cells. Transistors often need sizing to maintain performance. Scalability Adequate separation is critical 5/1/ *Baze, et, al. IEEE NSREC 00, pg 2609 **Wiseman, IEEE Rad. Data Workshop, 94, pg51

15 Internally Redundant Latch Cross Coupled (asymmetric) Redundant state nodes PMOS PMOS PMOS BASIC LATCH PMOS Retargetability / Scalability Requires custom sizing of six transistors with each new process to balance the single node SEU response and achieve adequate hardness 5/1/

16 Internally Redundant Latch DICE* - Dual interlocked storage cell Less sensitive to transistor sizing Table 2. Single Event Effects Test Results ** ** clock data *Calin, et al, IEEE NSREC 96, pg2877 **Alexander, et al. GOMAC 2001 Digest of Papers, pg 257 5/1/

17 Low Power DICE Latch with PRE / CLR Low Power pass gates PRE Clear Preset B1 B2 Q Output buffer D B1 B2 B2 CLR B1 5/1/

18 DICE Latch Layout Restrictions No two same color transistor blocks may be paced side by side PRE B1 B2 Q D B1 B2 B2 CLR B1 5/1/

19 DICE Flip/Flop PRE B2 D B1 B1 B2 Q B1 B2 B2 B2 B1 Retargetability CLR Transistor sizing and pass gate/ logic implementations may need to be traded to optimize speed vs. power Scalability B1 Single node hardness insensitive to transistor sizing. Node separation is critical 5/1/

20 Flip/Flop Comparisons Retargetable / Scalable Flip/Flops in a Single Process POWER (-Q) SPEED (T SH ) HARDNESS* (e/b-d) AREA (µm2) Std Low Power Rise 0.7 µw Fall 0.2 µw Rise 0.21 ns Fall 0.27 ns node 360 Increased I DRIVE Rise 1.0 µw Fall 0.2 µw Rise 0.16 ns Fall 0.15 ns 2x node 460 Low Power triplicate-and-vote Rise 1.72 µw Fall 1.27 µw Rise 0.21 ns Fall 0.27 ns node 1200 DICE Rise 1.4 µw Fall 1.1 µw Rise 0.96 ns Fall 0.97 ns 1.6 x node 520 *preliminary estimates for a proposed SOI process, GEO orbit 5/1/

21 Summary A number of design options exist for improving the SEU hardness of digital logic. However, specific considerations and restrictions must be observed for each technique if these techniques are to be applied over a range of process technologies and reduced feature size. 5/1/

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments.

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. K. Kloukinas, F. Faccio, A. Marchioro, P. Moreira, CERN/EP-MIC,

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment

A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment G. Magazzù 1,A.Marchioro 2,P.Moreira 2 1 INFN-PISA, Via Livornese 1291 56018 S.Piero a Grado (Pisa), Italy

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 13, Issue 3, Ver. I (May. - June. 2018), PP 55-60 www.iosrjournals.org Design And Implementation

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Example 1: Trading ASIC and FPGA Considerations for System Insertion

Example 1: Trading ASIC and FPGA Considerations for System Insertion 2009 IEEE NSREC Short Course Selection of Integrated Circuits for Space Systems Section V: Example 1: Trading ASIC and FPGA Considerations for System Insertion Melanie Berg MEI Technologies Inc. Melanie

More information

The Design and Characterization of an 8-bit ADC for 250 o C Operation

The Design and Characterization of an 8-bit ADC for 250 o C Operation The Design and Characterization of an 8-bit ADC for 25 o C Operation By Lynn Reed, John Hoenig and Vema Reddy Tekmos, Inc. 791 E. Riverside Drive, Bldg. 2, Suite 15, Austin, TX 78744 Abstract Many high

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

HI-8200, HI-8201, HI-8202

HI-8200, HI-8201, HI-8202 November 2017 GENERAL DESCRIPTION HI8200, HI8201, HI8202 Quad 10 Ohm /12V outsidetherails Analog Switch with Open Circuit when Power Off EATURES The HI8200 is a quad analog CMOS switch fabricated with

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

+2.7V to +5.5V, Low-Power, Triple, Parallel 8-Bit DAC with Rail-to-Rail Voltage Outputs

+2.7V to +5.5V, Low-Power, Triple, Parallel 8-Bit DAC with Rail-to-Rail Voltage Outputs 19-1560; Rev 1; 7/05 +2.7V to +5.5V, Low-Power, Triple, Parallel General Description The parallel-input, voltage-output, triple 8-bit digital-to-analog converter (DAC) operates from a single +2.7V to +5.5V

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1407-1414 Research India Publications http://www.ripublication.com NOVEL DESIGN OF 10T FULL ADDER

More information

Managing the Health and Safety of Li-Ion Batteries using a Battery Electronics Unit (BEU) for Space Missions

Managing the Health and Safety of Li-Ion Batteries using a Battery Electronics Unit (BEU) for Space Missions NASA Battery Power Workshop 11/27/07 11/29/07 Managing the Health and Safety of Li-Ion Batteries using a Battery Electronics Unit (BEU) for Space Missions George Altemose Aeroflex Plainview, Inc. www.aeroflex.com/beu

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Java Bread Board Introductory Digital Electronics Exercise 2, Page 1

Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 JBB Excercise 2 The aim of this lab is to demonstrate how basic logic gates can be used to implement simple memory functions, introduce

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Partial evaluation based triple modular redundancy for single event upset mitigation

Partial evaluation based triple modular redundancy for single event upset mitigation University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2005 Partial evaluation based triple modular redundancy for single event upset mitigation Sujana Kakarla University

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

HI-8190, HI-8191, HI , Quad, SPST, 3.3V / 5.0V compatible Analog Switch

HI-8190, HI-8191, HI , Quad, SPST, 3.3V / 5.0V compatible Analog Switch October 2017 GENERAL DESCRIPTION HI-8190, HI-8191, HI-8192 12, Quad, SPST, 3.3V / 5.0V compatible Analog Switch EATURES The HI-8190 is a quad analog CMOS switch fabricated with Silicon-on-Insulator (SOI)

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

HI-201HS. High Speed Quad SPST CMOS Analog Switch

HI-201HS. High Speed Quad SPST CMOS Analog Switch SEMICONDUCTOR HI-HS December 99 Features Fast Switching Times, N = ns, FF = ns Low ON Resistance of Ω Pin Compatible with Standard HI- Wide Analog Voltage Range (±V Supplies) of ±V Low Charge Injection

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET M. A Huque 1, R. Vijayaraghavan 1, M. Zhang 1, B. J. Blalock 1, L M. Tolbert 1,2, and S. K. Islam 1 1 Department of Electrical and Computer

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC)

ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC) ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC) The plot below shows how the inverter's threshold voltage changes with the relative

More information

SEMI ADIABATIC ECRL AND PFAL FULL ADDER

SEMI ADIABATIC ECRL AND PFAL FULL ADDER SEMI ADIABATIC ECRL AND PFAL FULL ADDER Subhanshi Agarwal and Manoj Sharma Electronics and Communication Engineering Department Bharati Vidyapeeth s College of Engineering New Delhi, India ABSTRACT Market

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

CS/ECE 5710/6710. Composite Layout

CS/ECE 5710/6710. Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Composite Layout Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 22-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison And Performance Analysis

More information

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect IEICE TRANS. ELECTRON., VOL.E96 C, NO.4 APRIL 2013 511 PAPER Special Section on Solid-State Circuit Design Architecture, Circuit, Device and Design Methodology A Radiation-Hard Redundant Flip-Flop to Suppress

More information

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 Schemes for Eliminating Transient-Width Clock Overhead From SET-Tolerant Memory-Based Systems Daniel R. Blum, Student Member, IEEE,

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs ECSS-Q-HB-60-02 HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernández León Microelectronics Section ESA / ESTEC SEE / MAPLD Workshop May 18-21, 2105 OUTLINE Scope and goals

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

A radiation tolerant, low-power cryogenic capable CCD readout system:

A radiation tolerant, low-power cryogenic capable CCD readout system: A radiation tolerant, low-power cryogenic capable CCD readout system: Enabling focal-plane mounted CCD read-out for ground or space applications with a pair of ASICs. Overview What do we want to read out

More information

A Frequency Synthesizer for a Radio-Over-Fiber Receiver

A Frequency Synthesizer for a Radio-Over-Fiber Receiver A Frequency Synthesizer for a Radio-Over-Fiber Receiver By Mark Houlgate Supervisor: Professor Len MacEachern A report submitted in partial fulfillment of the requirements of the 4 th Year Engineering

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand Radiation Effects Measurement Test Structure using GF 32-nm SOI process by Lovish Masand A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved June 2017

More information

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components PUBLISHED BY INSTITUTE OF PHYSICS PUBLISHING AND SISSA RECEIVED: August 14, 2007 ACCEPTED: September 19, 2007 PUBLISHED: September 24, 2007 Development of SEU-robust, radiation-tolerant and industry-compatible

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

EE 330 Lecture 7. Design Rules

EE 330 Lecture 7. Design Rules EE 330 Lecture 7 Design Rules Last time: Response time of logic gates A Y C L t R C HL SWn L t R C LH SWp L C L proportional to #gates driven to avg input cap of gates R SW proportional length/width Last

More information

Digital Integrated Circuits - Logic Families (Part II)

Digital Integrated Circuits - Logic Families (Part II) Digital Integrated Circuits - Logic Families (Part II) MOSFET Logic Circuits MOSFETs are unipolar devices. They are simple, small in size, inexpensive to fabricate and consume less power. MOS fabrication

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information