ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs

Size: px
Start display at page:

Download "ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs"

Transcription

1 ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernández León Microelectronics Section ESA / ESTEC SEE / MAPLD Workshop May 18-21, 2105

2 OUTLINE Scope and goals of Handbook When and who prepared this Handbook? Classification of mitigation techniques, structure of HB Overview of main chapters (lists of techniques) ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 2

3 Deciphering the handbook coded name Space product assurance (branch Q ) ECSS-Q-HB Handbook EEE Components (discipline 60 ) Techniques for Radiation Effects Mitigation in ASICs and FPGAs ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 3

4 ECSS-Q-HB scope and goals compilation of techniques to mitigate effects of radiation in ASICs & FPGAs techniques grouped according to the different stages (levels) of an IC development flow in addition overview of the space radiation environment and its effects in ICs general guidelines for selecting techniques, how to use the handbook how to validate the mitigation techniques intended users Engineers and IC designers doing selection, use or development of ASIC or FPGA to be used in radiation environment Intended use As guidelines and references only, not requirements ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 4

5 History of ECSS-Q-HB /07: ECSS-Q-ST-60-02: ASIC and FPGA development standard released 2010/03: contract KO to develop 1st ECSS-Q-HB draft : Techniques for Radiation Effects Mitigation in ASICs and FPGAs by TIMA(F) et al. 2010/09: workshop on the topic at ESA/ESTEC 2011/12: Final presentation (version 6 of the HB 1 st draft) with training at ESTEC 2012/02: Final revision to the HB (version 7), with inputs from TIMA & ESTEC 2013/10: ECSS Working Group KO to improve the 1st HB draft for future ECSS public review 2014-today: ECSS Working Group and Secretariat preparing improved HB draft ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 5

6 Who worked in the ECSS-Q-HB-60-02? First HB draft (2012 Q1) put together by TIMA (F), under ESA contract, with key inputs from: M. Alles process and layout level D. Loveless University of Vanderbilt (USA) analogue & mixed-signal circuits M. Nicolaidis R. Velazco TIMA (F) B. Glass European Space Agency (NL) F. L. Kastensmidt Universidade Federal do Rio Grande do Sul (Brazil) digital circuits HB contract manager Digital circuits and FPGAs M. Violante Politecnico di Torino (I) Embedded Software M. Pignol CNES (F) System architecture Final HB version (2015 Q3) by the ECSS WG with inputs/corrections from ESA, Thales, AirbusDS, RUAG, OHB, CNES(F), CERN(CH) and IMEC(B).and anyone interested through Public Review Over 350 citations of industry, academia, vendors and agencies worldwide ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 6

7 classification of mitigation techniques, abstraction levels Outside integrated circuit FPGA Off-chip electronics Software System On Chip Digital, Analogue, Mixed-Signal Electronic System level Inside integrated circuit Digital IP ASIC cell libraries Circuit Architecture level Analogue IP Embedded memories RHBD Physical Layout level Manufacturing Process level RHBP ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 7

8 Handbook table of contents size (pages) chapter # chapter title 1 Scope 10 2 References 3 Terms, definitions and abbreviated terms 5 4 Radiation environment and integrated circuits 2 5 Choosing a design hardening strategy 15 6 Technology selection and process level mitigation 12 7 Layout 29 8 Analogue circuits 22 9 Embedded memories 6 10 Radiation-hardened ASIC libraries Digital Circuits System on a Chip Field Programmable Gate Arrays Software-implemented hardware fault tolerance System architecture Validation methods 33 Annex A Bibliography 3 Annex B Vendor Solutions ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 8

9 Common structure of main chapters a. Overview b. Table of Mit. Techniques vs. Rad Effects c. Mitigation techniques descriptions, with examples, figures Available Test Data (simulations, radiation testing, in-flight) Added value (efficiency) Known issues (Weaknesses, elements to be considered) ID card Abstraction level Pros Cons Mitigated effects Validation Methods Automation tools Vendor solutions ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 9

10 ECSS-Q-HB-60-02: the first chapters 1 Scope 14 2 References 15 3 Terms, definitions and abbreviated terms Terms from other documents Terms specific to the present document Abbreviated terms 18 4 Radiation environment and integrated circuits Radiation environment in space Radiation Effects in ICs Cumulative effects Single Event Effects (SEEs) Non destructive SEE Destructive SEE Summary 28 5 Choosing a device hardening strategy The optimal strategy How to use this handbook 31 ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 10

11 6 Technology selection and process level mitigation 6 Technology selection and process level mitigation 6.1 Overview 6.2 Summary of effects vs mitigation techniques 6.3 Mitigation techniques Epitaxial layers Silicon On Insulator Triple wells Buried layers Dry thermal oxidation Implantation into oxides 6.4 Technology scaling and radiation effects ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 11

12 7 Layout, 8 Analogue circuits 7 Layout Ringed or Enclosed Layout Transistor Contacts and guard rings Dummy transistors Large W/L ratio transistors 8 Analogue circuits Node Separation and Inter-digitation Analogue Redundancy (Averaging) Resistive Decoupling Filtering Modifications in Bandwidth, Gain, Operating Speed, and Current Drive Reduction of Window of Vulnerability Reduction of High Impedance Nodes Differential Design Dual Path Hardening ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 12

13 9 Embedded memories Hardening of individual Memory Cells Resistive hardening Capacitive hardening IBM hardened memory cell HIT hardened memory cell DICE hardened memory cell NASA-Whitaker hardened memory cell NASA-Liu hardened memory cell Bit-interleaving in memory arrays c R Data Scrubbing d /d q R /q 9.4 Comparison between hardened memory cells ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 13

14 10 Radiation-hardened ASIC libraries 10.1 IMEC Design Against Radiation Effects (DARE) 0.18 µm library 10.2 CERN 0.25 µm, 65nm rad hard library 10.3 BAE 0.15 µm rad hard library 10.4 Ramon Chips 0.18 µm and 0.13 µm rad hard libraries 10.5 Aeroflex 600, 250, 130 and 90 nm rad hard libraries 10.6 Atmel MH1RT, ATC18RHA, ATMX150RHA (0.35, 0.18 & 0.15 µm) rad hard lib 10.7 ATK 0.35 µm rad hard cell library 10.8 ST Microelectronics C65SPACE 65nm rad hard library 10.9 RedCat Devices 0.18 µm and 0.13 µm rad hard libraries ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 14

15 11 Digital Circuits b) Combinational logic Clk D Q DFF Voter Spatial redundancy Combinational logic Clk D Q DFF Voter Duplex architectures Triple Modular Redundancy architectures Basic TMR Full TMR Combinational logic Clk D Q DFF Voter Temporal redundancy Triple Temporal Redundancy combined with spatial redundancy Minimal level sensitive latch Fail-Safe, Deadlock-free Finite State Machines Selective use of logic cells ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 15

16 12 System on a Chip Error Correcting Codes Parity check Cyclic Redundancy Check BCH codes Hamming codes SEC-DED codes Reed-Solomon codes Arithmetic codes Low Density Parity Codes Mitigation for Memory Blocks Filtering SET pulses in data paths Watchdog timers TMR in mixed-signal circuits 7 bits of data Number of 1 8-bits including parity bit even odd ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 16

17 13 Field Programmable Gate Arrays Local TMR Global TMR Large grain TMR Embedded user memory TMR Additional Voters in TMR data-paths to minimise DCE Reliability-oriented place and Route Algorithm (RoRA) Embedded processor protection Scrubbing of configuration memory + techniques in Chapters 11 (digital) & 12 (SoC) ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 17

18 14 Software-implemented hardware fault tolerance Redundancy at instruction level Redundancy at task level Redundancy at application level: using a hypervisor ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 18

19 15 System architecture (off-chip) Shielding Watchdog timers Latching current limiters Spatial Redundancy Duplex architectures Lockstep Double Duplex Double Duplex Tolerant to Transients (DT2) Triple Modular Redundancy Error Correcting Codes Off-chip SET filters ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 19

20 TMR variants in this Handbook 11 Digital Circuit s 12 - SoC 13 - FPGA 15 Offchip 3x flip flops 3x combinatorial logic 3x voter Extra voters in data paths Triplets physical layout separated 3x memory blocks comparing analog signals 3x chip Synchronizing logic 3x outputs Basic TMR x x Full TMR x x x x Local TMR x x Global TMR x x x x x Large grain TMR x x x x x x Embedded memory TMR TMR with voters against DCE x x x x x x x Mixed-signal TMR Chip-level TMR x x x x x ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 20

21 16 Validation methods 16.2 Fault injection Fault injection at transistor level Physical level 2D/3D device simulation Transient fault injection simulations at electrical level Fault injection at gate level Fault injection at device level Fault injection in processors Fault injection in FPGAs Analytical methods for predicting effects of soft errors on SRAM-based FPGAs Fault injection at system level 16.3 Real-life radiation tests Tests on-board scientific satellites On-board stratospheric balloons Ground level tests 16.4 Ground accelerated radiation tests Standards and specifications SEE test methodology Static test Dynamic test TID test methodology TID and SEE test facilities Total ionizing dose Single event effects Complementary SEE test strategies Laser beams SEE tests Ion-Microbeam SEE tests Californium-252 and Americium-241 SEE tests SEE tests practical constraints and DUT preparation ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 21

22 Future of ECSS-Q-HB /06: ECSS-Q-HB release for ECSS public review (2 months approx) ==> please check here: to download Handbook during public review and form to submit your feedback! 2015/Q3: ECSS-Q-HB final release as an official new ECSS Handbook ECSS Q-HB Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernandez-Leon SEE/MAPLD 19/05/2015 Slide 22

23 THANKS! Questions? Suggestions? ECSS-Q-HB Handbook Techniques for Radiation Effects Mitigation in ASICs and FPGAs working group convener & book captain SEE / MAPLD Workshop May 18-21, 2105

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs 11 th September 2009 Tools for Re/Programmable FPGAs 1 V3.0 Agenda (1/4) 9:00 9:15 Welcome address Agustín Fernández-León,

More information

Space product assurance

Space product assurance Space product assurance Techniques for radiation effects mitigation in ASICs and FPGAs handbook ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Handbook

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

COTS and automotive EEE parts in Space Programs: Thales Alenia Space Return of Experience

COTS and automotive EEE parts in Space Programs: Thales Alenia Space Return of Experience COTS and automotive EEE parts in Space Programs: Thales Alenia Space Return of Experience Mission Needs, Trends and Opportunities Session" - ESA High End Digital Technology Workshop on 01-Oct.-2018 1 01/10/2018

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument CMOS Image Sensors for High Performance Applications 18 th and 19 th Nov 2015 High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components PUBLISHED BY INSTITUTE OF PHYSICS PUBLISHING AND SISSA RECEIVED: August 14, 2007 ACCEPTED: September 19, 2007 PUBLISHED: September 24, 2007 Development of SEU-robust, radiation-tolerant and industry-compatible

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs)

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Véronique Ferlet-Cavrois ESA/ESTEC Acknowledgements to Ali Mohammadzadeh, Christian Poivey, Marc Poizat, Fredrick Sturesson ESA/ESTEC,

More information

Partial evaluation based triple modular redundancy for single event upset mitigation

Partial evaluation based triple modular redundancy for single event upset mitigation University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2005 Partial evaluation based triple modular redundancy for single event upset mitigation Sujana Kakarla University

More information

Optimizing Design of Fault-tolerant Computing Systems

Optimizing Design of Fault-tolerant Computing Systems Optimizing Design of Fault-tolerant Computing Systems Milos Krstic HDT 2017, 1st Workshop on Hardware Design and Theory, Agenda 1 Motivation 2 Fault Tolerant Methods 3 Methods for reducing the overhead

More information

Excerpt from. Critical Space Technologies. for. European Strategic Non-Dependence. List of Urgent Actions for 2012/2013

Excerpt from. Critical Space Technologies. for. European Strategic Non-Dependence. List of Urgent Actions for 2012/2013 Excerpt from Critical Space Technologies for European Strategic Non-Dependence List of Urgent Actions for 2012/2013 Update for the 2015 Call of Horizon 2020 June 2014 This page is intentionally left blank.

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Example 1: Trading ASIC and FPGA Considerations for System Insertion

Example 1: Trading ASIC and FPGA Considerations for System Insertion 2009 IEEE NSREC Short Course Selection of Integrated Circuits for Space Systems Section V: Example 1: Trading ASIC and FPGA Considerations for System Insertion Melanie Berg MEI Technologies Inc. Melanie

More information

Implementation Aspects of the Physical Layer in SpaceWire

Implementation Aspects of the Physical Layer in SpaceWire Implementation Aspects of the Physical Layer in SpaceWire Wahida Gasti, Jorgen Ilstad, Farid Guettache, Giorgio Magistrati ESTEC / European Space Agency, Noordwijk, Netherlands San-Antonio November 8 th

More information

ESA S ROADMAP FOR NEXT GENERATION PAYLOAD DATA PROCESSORS

ESA S ROADMAP FOR NEXT GENERATION PAYLOAD DATA PROCESSORS ESA S ROADMAP FOR NEXT GENERATION PAYLOAD DATA PROCESSORS R. Trautner (1) (1) TEC-EDP, ESA/ESTEC, Keplerlaan 1, 2200AG Noordwijk, The Netherlands Email: Roland.Trautner@esa.int ABSTRACT A new generation

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

AT697 LEON2-FT FLIGHT MODELS

AT697 LEON2-FT FLIGHT MODELS AT697 LEON2-FT FLIGHT MODELS March 7, 2007 Prepared by Nicolas RENAUD Aerospace µprocessors & Radiation Effects Marketing Atmel ASIC Business Unit For LEON2 FT prototypes: CONTRACTS ESA contract n 15036/01/NL/FM

More information

PoS(TIPP2014)382. Test for the mitigation of the Single Event Upset for ASIC in 130 nm technology

PoS(TIPP2014)382. Test for the mitigation of the Single Event Upset for ASIC in 130 nm technology Test for the mitigation of the Single Event Upset for ASIC in 130 nm technology Ilaria BALOSSINO E-mail: balossin@to.infn.it Daniela CALVO E-mail: calvo@to.infn.it E-mail: deremigi@to.infn.it Serena MATTIAZZO

More information

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC 1 Techn Session XX: TECHNICAL SESSION NAME IAA-XX-14-0S-0P Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC Leonardo Medeiros *, Carlos Alberto Zaffari

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries*

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* M. P. Baze, J. C. Killens, R. A. Paup, W. P. Snapp Boeing Space and Communications Seattle, WA * Work supported

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY

RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY Geert Thys (1), Steven Redant (1), Eldert Geukens (2), Yves Geerts (2), M.Fossion (3), M. Melotte (3) (1) Imec, Kapeldreef 75, 3001 Leuven, Belgium

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k

Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k E a r t h S p a c e & F u t u r e Kayser-Threde GmbH A 12 Bit High Speed Broad Band Low Power Digital to Analog Converter for

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

IOLTS th IEEE International On-Line Testing Symposium

IOLTS th IEEE International On-Line Testing Symposium IOLTS 2018 24th IEEE International On-Line Testing Symposium Exp. comparison and analysis of the sensitivity to laser fault injection of CMOS FD-SOI and CMOS bulk technologies J.M. Dutertre 1, V. Beroulle

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18 ISSUE : -/2 PAGE : 1 /18 Executive Summary Written by Responsibility-Company Date Signature Project team Alcatel Space and Imec Verified by Emmanuel Liegeon ASIC Design Engineer - Study responsible Approved

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

Introduction. In this issue... The 5-Year CTB Strategic Plan The Silicon Integrated Circuits Dossier

Introduction. In this issue... The 5-Year CTB Strategic Plan The Silicon Integrated Circuits Dossier eurocomp no.5, spring 2002-1 Introduction Welcome to the fifth issue of Eurocomp, the newsletter of the Space Component Steering Board (SCSB). This issue is dedicated to the current developments underway

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits SHEP 2016 Workshop on Sensors and High Energy Physics Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits Vlad Mihai PLĂCINTĂ 1,3 Lucian Nicolae COJOCARIU 1,2 1.

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Next Generation DSP Roadmap and related ESA activities

Next Generation DSP Roadmap and related ESA activities Next Generation DSP Roadmap and related ESA activities ADCSS09, ESTEC, the Netherlands Session 3: New Development & Investigation Areas R. Trautner Onboard Payload Data Processing section (TEC-EDP) ESA/ESTEC

More information

Electrical-Radiation test results of VASP and Flight Model Development Plan. Philippe AYZAC THALES ALENIA SPACE

Electrical-Radiation test results of VASP and Flight Model Development Plan. Philippe AYZAC THALES ALENIA SPACE Electrical-Radiation test results of VASP and Flight Model Development Plan Philippe AYZAC THALES ALENIA SPACE AGENDA Page 2 HIVAC / VASP project reminder Electrical test results Functional tests Characterization

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE XIX IMEKO World Congress Fundamental and Applied Metrology September 6 11, 2009, Lisbon, Portugal SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE Lorenzo Ciani 1, Marcantonio

More information

Single Event Upset Mitigation in Low Power SRAM Design

Single Event Upset Mitigation in Low Power SRAM Design 2014 IEEE 28-th Convention of Electrical and Electronics Engineers in Israel Single Event Upset Mitigation in Low Power SRAM esign Lior Atias, Adam Teman, and Alexander Fish Emerging Nanoscaled Integrated

More information

FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS

FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS AMICSA 2016 FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS F. MALOU, C. AMIOT-BAZILE (CNES), P. VOISIN (TAS) 15th June, 2016 1 Outline FAST project

More information

Monolithic Pixel Sensors in SOI technology R&D activities at LBNL

Monolithic Pixel Sensors in SOI technology R&D activities at LBNL Monolithic Pixel Sensors in SOI technology R&D activities at LBNL Lawrence Berkeley National Laboratory M. Battaglia, L. Glesener (UC Berkeley & LBNL), D. Bisello, P. Giubilato (LBNL & INFN Padova), P.

More information

Laser attacks on integrated circuits: from CMOS to FD-SOI

Laser attacks on integrated circuits: from CMOS to FD-SOI DTIS 2014 9 th International Conference on Design & Technology of Integrated Systems in Nanoscale Era Laser attacks on integrated circuits: from CMOS to FD-SOI J.-M. Dutertre 1, S. De Castro 1, A. Sarafianos

More information

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver Ö. Çobanoǧlu a, P. Moreira a, F. Faccio a a CERN, PH-ESE-ME, 1211 Geneva 23, Switzerland Abstract ozgur.cobanoglu@cern.ch This paper

More information

SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC

SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC F.Faccio 1, K.Kloukinas 1, G.Magazzù 2, A.Marchioro 1 1 CERN, 1211 Geneva 23,

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

A SPAD-Based, Direct Time-of-Flight, 64 Zone, 15fps, Parallel Ranging Device Based on 40nm CMOS SPAD Technology

A SPAD-Based, Direct Time-of-Flight, 64 Zone, 15fps, Parallel Ranging Device Based on 40nm CMOS SPAD Technology A SPAD-Based, Direct Time-of-Flight, 64 Zone, 15fps, Parallel Ranging Device Based on 40nm CMOS SPAD Technology Pascal Mellot / Bruce Rae 27 th February 2018 Summary 2 Introduction to ranging device Summary

More information

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM;

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; SSC08-XI-5 Affordable Rad-Hard An Impossible Dream? David R. Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; 505-269-3895 Ken Hunt, Marc Owens, James Lyke Air Force Research Laboratory

More information

Short Course Program

Short Course Program Short Course Program TECHNIQUES FOR SEE MODELING AND MITIGATION OREGON CONVENTION CENTER OREGON BALLROOM 201-202 MONDAY, JULY 11 8:00 AM 8:10 AM 9:40 AM 10:10 AM 11:40 AM 1:20 PM 2:50 PM 3:20 PM 4:50 PM

More information

TABLE OF CONTENTS CHAPTER TITLE PAGE

TABLE OF CONTENTS CHAPTER TITLE PAGE TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK TABLE OF CONTENTS LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS i i i i i iv v vi ix xi xiv 1 INTRODUCTION 1 1.1

More information

MANY foundries with radiation-hard technologies have

MANY foundries with radiation-hard technologies have 1550 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 52, NO. 5, OCTOBER 2005 Radiation Test Results on First Silicon in the Design Against Radiation Effects (DARE) Library Steven Redant, R. Marec, L. Baguena,

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Integrating Additional Functionality with APS Sensors

Integrating Additional Functionality with APS Sensors Integrating Additional Functionality with APS Sensors Microelectronics Presentation Days ESA/ESTEC 8 th March 2007 Werner Ogiers (fwo [at] cypress.com) Cypress Semiconductor (Formerly Fillfactory B.V)

More information

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL by Lixiang Li Submitted in partial fulfilment of the requirements for the degree of Master of Applied Science at Dalhousie University Halifax,

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Safety Memo Kit Checkout Form Lecture slides Lectures: Chris Terman TAs: Karthik Balakrishnan HuangBin

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Design and Fabrication of a Radiation-Hard 500-MHz Digitizer Using Deep Submicron Technology

Design and Fabrication of a Radiation-Hard 500-MHz Digitizer Using Deep Submicron Technology Design and Fabrication of a Radiation-Hard 500-MHz Digitizer Using Deep Submicron Technology Project Summary K.K. Gan *, M.O. Johnson, R.D. Kass, J. Moore Department of Physics, The Ohio State University

More information

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Lisboa, C. A. 1, Kastensmidt, F. L. 1, Henes Neto, E. 2, Wirth, G. 3, Carro, L. 1 {calisboa, fglima}@inf.ufrgs.br,

More information

A radiation tolerant, low-power cryogenic capable CCD readout system:

A radiation tolerant, low-power cryogenic capable CCD readout system: A radiation tolerant, low-power cryogenic capable CCD readout system: Enabling focal-plane mounted CCD read-out for ground or space applications with a pair of ASICs. Overview What do we want to read out

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

Multi-Channel Charge Pulse Amplification, Digitization and Processing ASIC for Detector Applications

Multi-Channel Charge Pulse Amplification, Digitization and Processing ASIC for Detector Applications 1.0 Multi-Channel Charge Pulse Amplification, Digitization and Processing ASIC for Detector Applications Peter Fischer for Tim Armbruster, Michael Krieger and Ivan Peric Heidelberg University Motivation

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information