Optimizing Design of Fault-tolerant Computing Systems

Size: px
Start display at page:

Download "Optimizing Design of Fault-tolerant Computing Systems"

Transcription

1 Optimizing Design of Fault-tolerant Computing Systems Milos Krstic HDT 2017, 1st Workshop on Hardware Design and Theory,

2 Agenda 1 Motivation 2 Fault Tolerant Methods 3 Methods for reducing the overhead in Fault tolerant Systems 4 Static and dynamic methods: examples 5 System resilience 6 Conclusions

3 Motivation and goals Fault tolerance is traditional requirement of the applications such as space or avionics. Today s scaled technologies due to their reliability issues require more and more fault tolerance measures even for main stream applications Fault tolerance is always achieved with some (significant!) cost The open question is how to limit the overhead imposed by fault tolerance? Two important strategies: Advanced static low-overhead techniques which provide certain level of fault tolerance with limited overhead Adaptivity techniques which enable fault tolerance only when required 3

4 Example: Effects induced by Radiation Irradiation effects are present not just in space applications Radiation effects can be split in two general categories: Cumulative Effects Single Event Effects Ionization Displacement (can also be caused by aging effects) Enhanced low-dose- Rate Sensitivity (ELDRS) Soft errors: Neutron Single Event Upset (NSEU) Single Event Transient (SET) Single Event Upset (SEU) Single Event Functional Interrupt (SEFI) Hard errors: Single Event Latchup (SEL) Single Event Gate Rupture (SEGR) Single Event Burnout (SEBR) The effects need to be addressed by corresponding fault tolerant measures 4

5 Fault-tolerant Techniques Almost all fault-tolerant techniques are based on the redundancy Hardware redundancy (N-modular, triple and double modular redundancy) Information redundancy (error detection and correction) Time redundancy Software redundancy 5

6 Hardware Redundancy with TMR The general approach is N-modular redundancy Such systems are also known as M-of-N Systems N=3, Triple Modular Redundancy (TMR) N=2, Dual Modular Redundancy (DMR) How TMR works? m D m m m 6

7 Hardware Redundancy with TMR The general approach is N-modular redundancy Such systems are also known as M-of-N Systems N=3, Triple Modular Redundancy (TMR) N=2, Dual Modular Redundancy (DMR) How TMR works? Transient or permanent Fault x D m m m x m 7

8 Hardware Redundancy with TMR The general approach is N-modular redundancy Such systems are also known as M-of-N Systems N=3, Triple Modular Redundancy (TMR) N=2, Dual Modular Redundancy (DMR) How TMR works? Transient or permanent Fault x D y? m x m, y m TMR is 2-of-3-System! 8

9 Limits of the simple TMR-Circuit Voter (V) is the single point of failure! Transienter Puls Module 1 Module 1 D1 Module 2 V Q1 D2 Module 2 V Q2 Module 3 Module 3 9

10 Alternative TMR-Architecture Full TMR-architecture, including TMR-Voter D 1 Module 1 V Q 1 D 2 Module 2 V Q 2 D 3 Module 3 V Q 3 10

11 Information Redundancy TMR approach could be used (or seen) also as information redundancy Not very efficient one There are error correction codes (ECCs) which can reduce the overhead Example: Hamming-code (26 Data bits, 5 Parity bits, Overhead 16%) Single error correction and double error detection Easily applicable for homogenous structures (for example SRAM) or for communication packets.

12 Time Redundancy Time redundancy is performed but multiple execution of the same task in order to detect the error Advantage Hardware overhead is low or even not existing Disadvantage Performance reduced Energy consumption overhead still exists

13 Software Redundancy Redundancy can be performed also in software Execution of the algorithm several times and checking of results Critical issue: in case that the software is executed in the same way overhead will not be able to find some errors Solution is to have different implementation of the target algorithms Overhead is similar to the time redundancy approach

14 Cross Section [cm²] Overhead of Fault Tolerant Solutions Overhead of TMR could be much higher then X3 Example: TMR Flip-Flop TMR flip flop is very effective against single event effects On the other hand the overhead is significant 1,0E-06 1,0E-07 1,0E-08 SEU Cross Section SGB25: VDD=2.25V, T=27 C TMR Flip Flops Minimal threshold SGB25 Full-TMR SGB25 Red- TMR SGB25 Dlib- DICE 1,0E-09 1,0E-10 1,0E-11 LET [MeVcm²/mg]

15 Reducing the Overhead Redundancy generates the overhead This can increase power/area/performance budget several times Reducing the overhead is possible Basic methods are combining different redundancy methods (hardware, software, information, time) and perform the trade-off between achieved level of fault tolerance, performance and power consumption Example: DMR DMR reduces significantly overhead introduced by TMR However, it can usually offer only error detection, and correction need to be done by architectural replay, reducing the performances Merging standard low-power techniques and fault tolerant methods? 15

16 Applying Standard Low-Power Methods for Reliable Circuits Standard low-power techniques could be applicable for fault-tolerant circuits Clock gating Could cause the issues for space application if the fault tolerance is based on the hardware redundancy Error accumulation is possible, since fault recovery over clock is not there State recovery needed after clock gating phase DVFS Fault tolerant clock and voltage control needed Voltage and frequency changes could affect the susceptibility to events Characterization needed Power gating Fault tolerant power switches needed 16

17 Fault-Tolerance to achieve Optimized Design Operation In the modern scaled systems power needs to be reduced beyond the worst case corners We need better then the worst case timing! As a result => speculative execution is needed Clock cycle is adaptive, and could be shorter as the critical path delay Such low-power circuits must be fault tolerant! Important priorities are: Overhead for fault tolerance must be minimized (POWER!, area, timing) Focus on fault detection => correction by recovery Target timing faults 17

18 RAZOR Concept RAZOR is a very popular approach to achieve fault tolerance against timing errors It is based on the use of the shadow latch which is sampled on the alternative edge The response from main flip-flop and shadow latch are compared and timing fault identified Pros Reduced complexity compared with TMR/DMR Effective against timing errors Cons Effective ONLY against timing errors Metastability D. Ernst, et al, Razor: circuit-level correction of timing errors for low-power operation, Micro, IEEE 24 (6),

19 Bubble-RAZOR Concept Bubble-RAZOR is recently proposed for processor-based architectures When the error is detected the bubbles are pushed to the neighboring stages This ensures the slots for the error recovery Pros Further reduced complexity Effective against timing errors Cons Effective only for processor-based systems M. Fojtik, et al, Bubble razor: An architecture-independent approach to timing-error detection and correction, in: Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE International, 2012, pp

20 Consequences and Solutions Redundancy = Power/Area Increase and performance drop! The overhead could be more than N times baseline The classical FT techniques could be performed in the more optimal way: Static solutions: Limiting the level of fault tolerance but significantly reducing overhead (partial FT, ECC codes etc.) Dynamic (adaptive) solutions: Enabling system adaptivity: using overhead only when it is needed Adaptivity is the key requirement for complex system implementation in advanced technologies Example solutions: Static - partial and selective fault tolerance, EDPEC, FEDC Adaptive - NMR power control, adaptive ECC, adaptive MPSoCs Resilience: Addressing with the same mechanisms different challenges Faults, PVT variations, security 20

21 Static Solutions

22 Partial Fault Tolerance We can reduce the overhead if we protect with redundancy only the parts of the systems which are most critical (control logic, command/status registers) This method is called partial fault tolerance However, some part of the system remains fully unprotected Example: Design of Digital Beamforming Network processor for synthetic aperture radar (EU Project DIFFERENT) Digital baseband IC and in IHP technology DFBN Chip tape-out Aug mm2 in SGB25V Tested on wafer and operational up to 250 MHz! Optimized overhead (saving 20% in area and 33% in power) radiation hardened TMR flip-flops in control logic Standard flip-flops in datapath DBFN Baseband Processor - 46mm 2 in SGB25V, Sep

23 Selective Fault Tolerance Architecture Fault tolerance is guaranteed only for input assignments of critical tasks, since it is not required for other signals We can make the trade-off between the FT level and area increase y n V n y 1 n y 2 n y 3 S1( x) if input is critical s2( x) optimize s2 0 otherwise S S 1 s2 s 3 S1( x) if input is critical s3( x) optimize s3 1 otherwise m m m x x x *Reducing the Area Overhead of TMR-Systems by Protecting Specific Signals, M. Augustin, M. Gössel, R. Kraemer, Proc. IEEE IOLTS 2010 *Eine neue Fehlertoleranzmethode zur Verringerung des Flächenaufwandes von TMR-Systemen, M. Augustin, M. Gössel, R. Kraemer, Proc. ZuE

24 Improvements of Selective Fault Tolerance Methodology could be easily integrated in standard design flow Selective Fault Tolerance applicable to real industrial designs The reduction of area overhead compared to TMR is significant Near to the computationally very intensive solution The protection of 20% of all possible input/output assignments leads to an area reduction of one complete system compared to TMR *Reducing the Area Overhead of TMR-Systems by Protecting Specific Signals, M. Augustin, M. Gössel, R. Kraemer, Proc. IEEE IOLTS 2010 *Eine neue Fehlertoleranzmethode zur Verringerung des Flächenaufwandes von TMR-Systemen, M. Augustin, M. Gössel, R. Kraemer, Proc. ZuE

25 Improvements of Selective Fault Tolerance The concept of Selective Fault Tolerance was also applied to sequential circuits (FSMs) The protection of 20% of all possible state transitions leads to an area reduction of nearly one complete system compared to TMR *Reducing the Area Overhead of TMR-Systems by Protecting Specific Signals, M. Augustin, M. Gössel, R. Kraemer, Proc. IEEE IOLTS 2010 *Eine neue Fehlertoleranzmethode zur Verringerung des Flächenaufwandes von TMR-Systemen, M. Augustin, M. Gössel, R. Kraemer, Proc. ZuE

26 Error Detection and Partial Error Correction (EDPEC) Architecture Architecture optimized for effective error detection Most of the soft errors could be corrected as well Self-checking based on prediction circuits, which are less complex then hardware multiplication Hardware/power overhead reduced compared with TMR Saves around 25% area/power Critical errors appearing near to the clock edge Circuit Dynamic Power [mw] Area Comb [mm2] Area Sequential [mm2] Area Total [mm2] TMR 0,600 0,0421 0,0125 0,0470 EDPEC 0,442 0,0344 0,0105 0,0380 Milos Krstic, et al., Improved circuitry for soft error correction in combinational logic in pipelined designs. IOLTS 2014:

27 Full Error Detection and Correction (FEDC) Focused on full error correction All injected SETs could be corrected Suitable for long transients as well Effective against timing errors Hardware/power overhead reduced compared with TMR Saves around 28% power Circuit Dynamic Power [mw] Area Comb [mm2] Area Sequential [mm2] Area Total [mm2] TMR 0,600 0,0421 0,0125 0,0470 FEDC 0,432 0,035 0,0126 0,0418 Milos Krstic, et al., Enhanced Architectures for Soft Error Detection and Correction in Combinational and Sequential Circuits, Microelectronics Reliability,

28 Dynamic Methods 28

29 Protecting Memory Error Correcting Codes are usual approach for fault protection Hamming Code, BCH, Hsiao Code Satisfying level of fault tolerance with limited overhead The most challenging thing in smaller feature sizes will be leakage power coming mostly from memories. Non-volatile memories have zero/very small leakage power Universal memories (PCM, RRAM) as a next big step towards low-power Variable-Strength Error-Correcting Codes When the reliability of the system is sufficient (without ECC) at normal Vcc, at lower Vcc the reliability usually is lower. ECC deals with memory cells which are unreliable at lower Vcc. VS-ECC design achieves an 84% power reduction and a 50% energy reduction compared to SECDED ECC, and achieves a 26% power reduction and an 11% energy reduction * *Alameldeen et al., Energy-Efficient Cache Design Using Variable-Strength Error-Correcting Codes 29

30 Dynamic Methods on the MPSoCs Level Multiprocessors have varying application requirements performance dependability (fault-tolerance, lifetime, ) power consumption E.g. Earth observation satellite image processing orbit change waiting new task Target: NMR mechanisms, lifetime aspect Self-repairable system based on configurable micro-operation units, selected by the programmer Timing-critical applications are also considered Dynamically adapting to the application requirements Trade-offs between higher endurance, fault-tolerance, performance and power efficiency A. Simevski, R. Kraemer, M. Krstic, Investigating Core-Level N-Modular Redundancy in Multiprocessors, IEEE MCSoC-14 30

31 Scheduler + Voter Architectural Framework for Adaptable Multiprocessors Framework addressing fault-tolerance and aging effects for space and automotive applications Aging monitors Automated HW/SW verification, design & test Programmable NMR voters Modes of operation De-stress Fault-tolerant High-performance Core 1 Core 2 Dynamic mode change depending on the application requirements Core 4 Core 3 A. Simevski, R. Kraemer, M. Krstic, Investigating Core-Level N-Modular Redundancy in Multiprocessors, IEEE MCSoC-14 31

32 De-stress mode Core 1 Core 2 Period T Core 1 Core 2 Core 3 Core 4 Core 4 Core 3 time Legend: = active core = inactive core 32

33 De-stress mode Core 1 Core 2 Core 1 Core 2 Core 3 Core 4 Perio d T T Core 4 Core 3 time 33

34 De-stress mode Core 1 Core 2 Period T T T Core 1 Core 2 Core 3 Core 4 Core 4 Core 3 time 34

35 De-stress mode Core 1 Core 2 Period T T T T Core 1 Core 2 Core 3 Core 4 Core 4 Core 3 time 35

36 De-stress mode Core 1 Core 2 Period T T T T T Core 1 Core 2 Core 3 Core 4 Core 3 Core 4 time 36

37 De-stress mode (2 active cores) Core 1 Core 2 Period T Core 1 Core 2 Core 3 Core 4 Core 4 Core 3 time 37

38 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 T Age 2 Age 3 Age 4 Age 5 38 time

39 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 T T Age 2 Age 3 Age 4 Age 5 39 time

40 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 T T T Age 2 Age 3 Age 4 Age 5 40 time

41 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 T T T T Age 2 Age 3 Age 4 Age 5 41 time

42 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Age 2 Age 3 Age 4 Age 5 Core 1 reached age 3 T T T T T 42 time

43 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Age 2 Age 3 Age 4 Age 5 Core 1 reached age 3 T T T T T T 43 time

44 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Age 2 Age 3 Age 4 Age 5 Core 1 reached age 3 T T T T T T T 44 time

45 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Age 2 Age 3 Age 4 Age 5 Core 1 reached age 3 T T T T T T T T 45 time

46 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 T T T T T T T T T Age 2 Age 3 Age 4 Age 5 46 time

47 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 47 time

48 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 T T T T T Cores 1 and 2 reached age 4 T T T T T T Age 2 Age 3 Age 4 Age 5 48 time

49 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 T T T T T Cores 1 and 2 reached age 4 T T T T T T T Age 2 Age 3 Age 4 Age 5 49 time

50 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 50 time

51 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 51 time

52 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 T T T T T Cores 1 and 2 reached age 4 T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 52 time

53 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 53 time

54 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 54 time

55 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 55 time

56 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 56 time

57 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 57 time

58 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 58 time

59 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 59 time

60 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 60 time

61 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 61 time

62 Youngest-First Round Robin (YFRR) The initial age may not be equal even between cores on the same die! Extend lifetime by equalizing the age of the cores Core 1 Core 2 Core 4 Core 3 Core 1 reached age 3 Cores 1 and 2 reached age 4 Cores 1, 2 and 3 reached age 5 T T T T T T T T T T T T T T T T T T T T T T T T T Age 2 Age 3 Age 4 Age 5 62 time

63 Programmable NMR voter Fault-tolerant mode Core-level NMR voting in each clock cycle! Masking faults, no need for instant recoveries for N>2 Dynamic reconfiguration NMR on-demand Core 1 Core 2 Core 3 Core 4 Voting output ISD err pb 1 pb 2 pb 3 pb 4 63

64 Implementation and Results Test ASIC named FMP implemented and tested 8-core system based on 32-bit internal processor Fully functional in IHP 130 nm technology Youngest-First Scheduling Methodology (YFSM) could increase the system lifetime up to 31% A. Simevski, R. Kraemer, M. Krstic, Investigating Core-Level N-Modular Redundancy in Multiprocessors, IEEE MCSoC-14 64

65 Resilience methods

66 What is Resilience? Resilience - an ability to recover from or adjust easily to change (Merriam-Webster) What can be this change in our digital systems? Environmental changes: Voltage/Temperature variations External effects (Radiation (SEEs)) Ageing and manufacturing issues Security threats (side channel attacks) We need some measures to address those changes We already know that addressing faults will cause some overhead However, addressing the other aspects also leads to overhead Example: Supply management unit and its overhead Synergy needed in addressing those challenges! In this way the optimization of the overhead need to be performed

67 Example: PISA System Power robust IC design for Space Applications Leon-based multiprocessor using IHP s framework De-stress (Power Gating, Clock Gating, Adaptive Voltage Scaling) Fault-tolerant (Core-level NMR-on-Demand, ECC) High-performance Addressing at the same time Soft errors induced by particle hits Voltage variation induced errors

68 Resilient Multiprocessor Architecture Four LEON2 cores using the Waterbear framework + AVS (Adaptive Voltage Scaling) 25. July

69 Waterbear framework controller Waterbear framework controller Power management Clock management Framework control (e.g., modes: de-stress, fault-tolerant, hiperformance) Error management (both from fault-tolerant mode and ECC) Aging observation and control (aging monitors) Temperature sensors Other management functions (AHB priority, SRAM enable/disable, ) Synergetic integration of the different fault detection and correction mechanisms Overhead optimization PISA steering committee meeting 25. May 2016 Slide: 16/20

70 PISA Chip Specifications Voltage Regulator with 20 discrete steps, controlling core voltage in range [0,8V 1,2V]; power- and clock-gating of the domains; Size 7 mm x 7 mm Power (static) 0.2 input activity Proc. core (dynamic): 130mV 30mV Core0 Core 3 Tape-out September 2017 Core 1 Core 2 70

71 Conclusion Fault tolerance always causes significant hardware & power overhead Different methods how to limit this overhead exist This always present some trade-off to achieved fault tolerance and performance Two basic approaches presented: Static techniques for reducing power overhead, but also reducing fault protection Adaptive techniques enabling dynamic trade-off power-reliability Addressing the challenges in synergetic way is of utmost importance Ultimate target is having optimal resilient system 71

72 A11B Thank you for your attention! Milos Krstic IHP Innovations for High Performance Microelectronics Im Technologiepark Frankfurt (Oder) Germany Phone: +49 (0) Fax: +49 (0)

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Partial evaluation based triple modular redundancy for single event upset mitigation

Partial evaluation based triple modular redundancy for single event upset mitigation University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2005 Partial evaluation based triple modular redundancy for single event upset mitigation Sujana Kakarla University

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs ECSS-Q-HB-60-02 HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernández León Microelectronics Section ESA / ESTEC SEE / MAPLD Workshop May 18-21, 2105 OUTLINE Scope and goals

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument CMOS Image Sensors for High Performance Applications 18 th and 19 th Nov 2015 High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs 11 th September 2009 Tools for Re/Programmable FPGAs 1 V3.0 Agenda (1/4) 9:00 9:15 Welcome address Agustín Fernández-León,

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE XIX IMEKO World Congress Fundamental and Applied Metrology September 6 11, 2009, Lisbon, Portugal SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE Lorenzo Ciani 1, Marcantonio

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k

Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k E a r t h S p a c e & F u t u r e Kayser-Threde GmbH A 12 Bit High Speed Broad Band Low Power Digital to Analog Converter for

More information

Improving the Processing Performance of a DSP for High Temperature Electronics using Circuit-Level Timing Speculation

Improving the Processing Performance of a DSP for High Temperature Electronics using Circuit-Level Timing Speculation Improving the Processing Performance of a DSP for High Temperature Electronics using Circuit-Level Timing Speculation Guillermo Payá-Vayá, Steffen Roskamp, Fritz Webering, and Holger Blume Payá-Vayá et

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits SHEP 2016 Workshop on Sensors and High Energy Physics Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits Vlad Mihai PLĂCINTĂ 1,3 Lucian Nicolae COJOCARIU 1,2 1.

More information

SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC

SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC F.Faccio 1, K.Kloukinas 1, G.Magazzù 2, A.Marchioro 1 1 CERN, 1211 Geneva 23,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Single Event Upset Mitigation in Low Power SRAM Design

Single Event Upset Mitigation in Low Power SRAM Design 2014 IEEE 28-th Convention of Electrical and Electronics Engineers in Israel Single Event Upset Mitigation in Low Power SRAM esign Lior Atias, Adam Teman, and Alexander Fish Emerging Nanoscaled Integrated

More information

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries*

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* M. P. Baze, J. C. Killens, R. A. Paup, W. P. Snapp Boeing Space and Communications Seattle, WA * Work supported

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Oct. 007 Fault Masking Slide bout This Presentation This presentation has been prepared for the graduate course ECE 57 (Fault-Tolerant Computing)

More information

Radiation and Reliability Considerations in Digital Systems for Next Generation CubeSats

Radiation and Reliability Considerations in Digital Systems for Next Generation CubeSats Radiation and Reliability Considerations in Digital Systems for Next Generation CubeSats Enabling Technology: P200k-Lite Radiation Tolerant Single Board Computer for CubeSats Clint Hadwin, David Twining,

More information

Systems. Mary Jane Irwin ( Vijay Narayanan, Mahmut Kandemir, Yuan Xie

Systems. Mary Jane Irwin (  Vijay Narayanan, Mahmut Kandemir, Yuan Xie Designing Reliable, Power-Efficient Systems Mary Jane Irwin (www.cse.psu.edu/~mji) Vijay Narayanan, Mahmut Kandemir, Yuan Xie CSE Embedded and Mobile Computing Center () Penn State University Outline Motivation

More information

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components PUBLISHED BY INSTITUTE OF PHYSICS PUBLISHING AND SISSA RECEIVED: August 14, 2007 ACCEPTED: September 19, 2007 PUBLISHED: September 24, 2007 Development of SEU-robust, radiation-tolerant and industry-compatible

More information

Single Event Effects Testing of the ISL7124SRH Quad Operational Amplifier June 2002

Single Event Effects Testing of the ISL7124SRH Quad Operational Amplifier June 2002 Single Event Effects Testing of the ISL7124SRH Quad Operational Amplifier June 2002 Purpose - This report describes the results of single event effects testing of the ISL7124SRH quad operational amplifier

More information

Military Performance Specifications

Military Performance Specifications RADIATION OWNER S MANUAL RHA-Related Documents Military Performance Specifications 19500 General Specification for Semiconductor Devices 38534 Performance Specifications for Hybrid Microcircuits 38535

More information

Highly Reliable Arithmetic Multipliers for Future Technologies

Highly Reliable Arithmetic Multipliers for Future Technologies Highly Reliable Arithmetic Multipliers for Future Technologies Lisbôa, C. A. L. Instituto de Informática - UFRGS Av. Bento Gonçalves, 9500 - Bl. IV, Pr. 43412 91501-970 - Porto Alegre - RS - Brasil calisboa@inf.ufrgs.br

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS Moslem Amiri, Václav Přenosil Faculty of Informatics, Masaryk University Brno, Czech Republic, amiri@mail.muni.cz, prenosil@fi.muni.cz

More information

Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems

Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems Eric Rotenberg Center for Embedded Systems Research (CESR) Department of Electrical & Computer Engineering North

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC 1 Techn Session XX: TECHNICAL SESSION NAME IAA-XX-14-0S-0P Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC Leonardo Medeiros *, Carlos Alberto Zaffari

More information

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction S.Anil Kumar M.Tech Student Department of ECE (VLSI DESIGN), Swetha Institute

More information

TID Influence on the SEE sensitivity of Active EEE components. Lionel Salvy

TID Influence on the SEE sensitivity of Active EEE components. Lionel Salvy TID Influence on the SEE sensitivity of Active EEE components Lionel Salvy Purpose of the study During space application, devices are subject to TID and SEE at the same time But part radiation qualification

More information

High performance, robust pico-satellite systems at smallest size

High performance, robust pico-satellite systems at smallest size High performance, robust pico-satellite systems at smallest size Radiation Shielding by Software for Reliable Electronics of Pico-Satellites Prof. Dr. Klaus Schilling Zentrum für Telematik / S 4 GmbH klaus.schilling@telematik-zentrum.de

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM;

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; SSC08-XI-5 Affordable Rad-Hard An Impossible Dream? David R. Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; 505-269-3895 Ken Hunt, Marc Owens, James Lyke Air Force Research Laboratory

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and Why You Should Break Them) Prof. Todd Austin University of Michigan austin@umich.edu A long time ago, in a not so far away place The Rules of Low-Power Design P =

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

TID Influence on the SEE sensitivity of Active EEE components

TID Influence on the SEE sensitivity of Active EEE components TID Influence on the SEE sensitivity of Active EEE components ESA Contract No. 4000111336 Lionel Salvy, Benjamin Vandevelde, Lionel Gouyet Anne Samaras, Athina Varotsou, Nathalie Chatry Alexandre Rousset,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS

STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS by Sheldon Mark Foulds, B.ASc., Ghulam Ishaq Khan University, Topi, Swabi, Pakistan, 2009, A project presented to Ryerson University in partial

More information

Towards reliable FPGA-based satellite systems - the RUSH experiment

Towards reliable FPGA-based satellite systems - the RUSH experiment Towards reliable FGA-based satellite systems - the RUSH experiment Ediz Cetin Department of Engineering Email: ediz.cetin@mq.edu.au 19 April 2017 COTS FGAs in Space The processing speed, cost and flexibility

More information

Trading off Reliability and Power-Consumption in Ultra-Low Power Systems

Trading off Reliability and Power-Consumption in Ultra-Low Power Systems rading off Reliability and Power-Consumption in Ultra-Low Power Systems Atul Maheshwari, Wayne Burleson and Russell essier Department of Electrical and Computer Engineering University of Massachusetts,

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design The Synchronous Design Paradigm A. Steininger Vienna University of Technology Outline The Need for a Design Style The ideal Method Requirements The Fundamental Problem Timed Communication

More information

Example 1: Trading ASIC and FPGA Considerations for System Insertion

Example 1: Trading ASIC and FPGA Considerations for System Insertion 2009 IEEE NSREC Short Course Selection of Integrated Circuits for Space Systems Section V: Example 1: Trading ASIC and FPGA Considerations for System Insertion Melanie Berg MEI Technologies Inc. Melanie

More information

(VE2: Verilog HDL) Software Development & Education Center

(VE2: Verilog HDL) Software Development & Education Center Software Development & Education Center (VE2: Verilog HDL) VLSI Designing & Integration Introduction VLSI: With the hardware market booming with the rise demand in chip driven products in consumer electronics,

More information

Error Detection and Recovery Techniques for Variation-Aware CMOS Computing: A Comprehensive Review

Error Detection and Recovery Techniques for Variation-Aware CMOS Computing: A Comprehensive Review J. Low Power Electron. Appl. 2011, 1, 334-356; doi:10.3390/jlpea1030334 OPEN ACCESS Article Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea Error Detection and

More information

Short-Strip ASIC (SSA): A 65nm Silicon-Strip Readout ASIC for the Pixel-Strip (PS) Module of the CMS Outer Tracker Detector Upgrade at HL-LHC

Short-Strip ASIC (SSA): A 65nm Silicon-Strip Readout ASIC for the Pixel-Strip (PS) Module of the CMS Outer Tracker Detector Upgrade at HL-LHC Short-Strip ASIC (SSA): A 65nm Silicon-Strip Readout ASIC for the Pixel-Strip (PS) Module of the CMS Outer Tracker Detector Upgrade at HL-LHC ab, Davide Ceresa a, Jan Kaplon a, Kostas Kloukinas a, Yusuf

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

A Low Power Fault Tolerant Architecture for Digital Systems

A Low Power Fault Tolerant Architecture for Digital Systems Volume 119 No. 10 2018, 1087-1095 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A Low Power Fault Tolerant Architecture for Digital Systems John

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

Embedded Error Compensation for Energy Efficient DSP Systems

Embedded Error Compensation for Energy Efficient DSP Systems Embedded Error Compensation for Energy Efficient DSP Systems Sai Zhang Student Member, IEEE and Naresh R. Shanbhag, Fellow, IEEE Abstract Algorithmic noise-tolerance (ANT) is an effective statistical error

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA Indian Journal of Science and Technology, Vol 8(17), DOI: 10.17485/ijst/20/v8i17/76237, August 20 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Memory Design using Low Voltage Complementary

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

New Methodologies for SET Characterization and Mitigation in Flash-Based FPGAs

New Methodologies for SET Characterization and Mitigation in Flash-Based FPGAs TNS-00477-2007.R2 1 New Methodologies for SET Characterization and Mitigation in Flash-Based FPGAs Sana Rezgui, Member, IEEE, J.J. Wang, Member, IEEE, Eric Chan Tung, Brian Cronquist, Member, IEEE, and

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction 3/18/2012 Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction M. Poolakkaparambil 1, J. Mathew 2, A. Jabir 1, & S. P. Mohanty 3 Oxford Brookes University 1, University of Bristol

More information

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications Georgios Tsiligiannis Outline FPGA under study Irradiation Test Setup Experimental Results Future steps Conclusions 2 FPGA under study

More information

AT697 LEON2-FT FLIGHT MODELS

AT697 LEON2-FT FLIGHT MODELS AT697 LEON2-FT FLIGHT MODELS March 7, 2007 Prepared by Nicolas RENAUD Aerospace µprocessors & Radiation Effects Marketing Atmel ASIC Business Unit For LEON2 FT prototypes: CONTRACTS ESA contract n 15036/01/NL/FM

More information

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Department of Computer Sciences Technical Report 2002-19 Premkishore Shivakumar Michael Kistler Stephen W.

More information

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Lisboa, C. A. 1, Kastensmidt, F. L. 1, Henes Neto, E. 2, Wirth, G. 3, Carro, L. 1 {calisboa, fglima}@inf.ufrgs.br,

More information

Aurelia Microelettronica S.p.A. SIRAD 2004 CAN BUS PHYSICAL LAYER RAD TEST. Thanks for their work to: Andrea Candelori Marco Ceschia

Aurelia Microelettronica S.p.A. SIRAD 2004 CAN BUS PHYSICAL LAYER RAD TEST. Thanks for their work to: Andrea Candelori Marco Ceschia Aurelia Microelettronica S.p.A. SIRAD 2004 CAN BUS PHYSICAL LAYER RAD TEST Thanks for their work to: Andrea Candelori Marco Ceschia Via Giuntini, 13 - I 56023 Cascina (Italy) Phone: +39.050.754260 Fax:

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

8-Channel Fault-Protected Analog Multiplexer

8-Channel Fault-Protected Analog Multiplexer 358 8-Channel Fault-Protected Analog Multiplexer FEATURES: RAD-PAK technology-hardened against natural space radiation Total dose hardness: - > 50 krad (Si), depending upon space mission Excellent Single

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

HSN Nuclear Event Detector FEATURES: DESCRIPTION: RADIATION HARDNESS CHARACTERISTICS: Logic Diagram

HSN Nuclear Event Detector FEATURES: DESCRIPTION: RADIATION HARDNESS CHARACTERISTICS: Logic Diagram B 8 9 Threshold Adjust H L 14 1 10 kω Detector (Pin Diode) Amplifier 2 NED 13 NEF 11 Flag Reset Pulse Timer 10 kω Logic Latch BIT 6 LED 7 5 4 C GND 12 RC Flag Reset Logic Diagram DESCRIPTION: DDC's radiation-hardened

More information

Radiation Testing Campaign for a New Miniaturised Space GPS Receiver

Radiation Testing Campaign for a New Miniaturised Space GPS Receiver Radiation Testing Campaign for a New Miniaturised Space GPS Receiver Craig Underwood Surrey Space Centre University of Surrey, Guildford, Surrey, UK c.underwood@surrey.ac.uk Martin Unwin Surrey Satellite

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information