A Low Power Fault Tolerant Architecture for Digital Systems

Size: px
Start display at page:

Download "A Low Power Fault Tolerant Architecture for Digital Systems"

Transcription

1 Volume 119 No , ISSN: (printed version); ISSN: (on-line version) url: ijpam.eu A Low Power Fault Tolerant Architecture for Digital Systems John kalloor 1 * S. Meyyappan 2 B. Baskaran 3 1 Research Scholar, Department of Electrical and Electronics Engineering, Annamalai University, Tamil Nadu, India 2 Assistant Professor, Department of Instrumentation Engineering, Madras Institute of Technology, Chennai, Tamil Nadu, India 3 Professor, Department of Electrical and Electronics Engineering, Annamalai University, Tamil Nadu, India * Corresponding author s johnkalloor1989@gmail.com Abstract: The paper unveils a design strategy to counteract the presence of faults in digital systems and uphold their reliability. The proposed work endeavours to develop an augmented version of Triple Modular Redundancy (TMR) to neutralise the effect of faults present in digital systems. The work focuses on developing a reconfigurable TMR based fault tolerant architecture to stand against the incursion of both hard and soft errors. The scheme intends to reduce the power consumption of fault tolerant methodologies with the view to maintain the area overhead remains almost on par with other strategies in use. The built-in fault tolerant ability of the design ensures digital systems to provide true outputs even in the presence of faults. The Modelsim based simulation results obtained for the digital circuit chosen for analysis ascertains the fault tolerant ability of the proposed methodology and the use of Xilinx 9.2i foundation series with an FPGA as the target device ensure its practical feasibility. The results proclaim the sheer merits of the scheme in terms of reduction in power consumption by a factor of 33% than the traditional TMR architecture. Keywords: Fault Tolerance, Power Consumption, Reliability, TMR. 1. Introduction The sensational development in the field of semiconductor technology has made the transition of digital systems possible from application specific to almost all areas that include earth applications to space research. This remarkable journey of semiconductor technology rides on the evolution of Complementary Metal Oxide Semiconductor (CMOS) technology which enables the mass production of smaller and cheaper Integrated Circuits (IC) with higher performance and lower power consumption [1]. However, the very technological advancements hold the key responsibility for the error prone nature of digital systems in the sense the reduction in feature size as well as supply voltage adversely influence their reliability[2]. The appearance of faults during the design or manufacturing or operational phase remains the root cause of malfunction of digital devices which in turn ultimately leads to system failure. The faults that may be temporary or permanent in nature degrade the performance of the system and reduce its life time [3]. Thus, the fault tolerant phenomenon gains crucial significance as it ensures reliable performance and extends the life time of the system. A wide variety of factors manifest themselves as the root cause for the occurrence of temporary and permanent faults in digital systems. The fabrication process of smaller devices becomes extremely difficult and leads to manufacturing defects that remain as the primary source of hard errors. Even digital systems with fault free design may suffer from supply voltage fluctuations, temperature variations and interference issues during the operational phase. The vulnerability of smaller transistors towards radiation effects leads to soft errors in the form of Single Event Transients (SETs) in combinational logic and may end up as Single Event Upset (SEUs) in sequential elements [4,5]. Further, quite naturally the ageing phenomenon remains the cause for the occurrence of intermittent faults which possess the potential to turn out to be permanent faults when left untreated and end up as hard errors during the twilight period of digital systems. 1087

2 The design process of present day digital systems remains a challenge due to the continual introduction of fresh and new CMOS technological nodes and therefore the traditional way of treating faults at the physical level and bringing changes in manufacturing process appears to be no longer feasible [6]. It augurs the need of fault tolerant techniques being inherently able to tackle faults even at the design level and enable to meet the reliability requirements of modern day digital circuits and systems. The fault tolerant schemes should possess the ability to protect the system against the faults of different nature that may either be temporary or permanent. The design of fault tolerant digital systems critically orients towards power consumption especially in advanced CMOS technology besides the eternal quest for low area overhead. Thus, the design of low power consuming fault tolerant schemes without compromising area overhead requirements remains the most sought after one in industry [7]. Having thoroughly considered the pressing demands of present day digital system design, the work engages itself to develop a design strategy to tolerate the ill effects of both temporary as well as permanent faults occurring in digital circuits and systems. The proposed architecture houses three identical modules of the circuit under test much similar to the best known TMR approach in which three logic circuits run in parallel [8,9]. On the contrary, the scheme contrasts itself from the traditional TMR architecture as it mandates only two modules among the three to operate at any given point of time which results in the reduction of dynamic power by a factor of 33% and the exiguous increase in area overhead. The rest of the paper organizes itself under four headings that include literature review, design methodology, results and discussion and finally conclusion. 2. Literature Review A fault tolerant design for combinational logic and sequential elements has been proposed in [10] which combines parity check codes and duplication strategy in an effort to provide diagnosis and correction capabilities to the design besides error detection abilities. An analysis on how two key trends in microprocessor technology device scaling and super pipelining have an impact on the soft error rate in CMOS memory and logic circuits has been presented in [11]. A variety of Buit-in Self-Repair (BISR) approaches for memories affected by high defect densities has been proposed in [12]. A Built-In Soft- Error Resilience (BISER) technique for radiation induced soft errors in latches and flip flops has been presented in [13]. An error tolerant Dynamic Voltage Scaling (DVS) technology with in-situ timing error detection and correction capabilities called RAZOR has been proposed in [14]. Further, a RAZOR flip-flop with the ability to double sample the pipeline stage values has been introduced. A new design methodology named as RAZOR II implementing a flip-flop with in-situ error detection and correction capabilities for variation induced delay errors has been presented in [15]. The sensitivity of SETs towards CMOS scaling has been addressed and the behaviour of SETs from particle physics to circuit level examined besides the conduct of soft error analysis in digital circuits in [16]. A soft error correction scheme for embedded storage elements in level sensitive designs has been presented with a view to detect and locate SEUs in [17]. The conditions that make the use of TMR architecture interesting for the exclusive purpose of yield improvement has been analysed in [18]. The test requirements for the TMR architecture have been examined and a solution proposed for the generation test patterns for such architectures. In-spite of the continual efforts being made towards fault tolerance of modern day digital systems, there exists a need to come up solutions providing power saving besides fulfilling area overhead demands. 3. Design Methodology The proposed scheme intends to protect the target system from various forms of faults that occur during normal operation of digital systems and reiterate its promise to enable the system to provide reliable performance even in the presence of faults. It focuses on the reliability issues of combinational logic of digital circuits which in turn ensures authenticity in the performance of digital systems on a larger periphery. Similar to TMR, the architecture houses three identical logic circuits besides a comparator, a switching unit and a control unit. It formulates a reconfigurable TMR architecture in the sense the two out of the three identical logic modules involves themselves in operation and the other remains 1088

3 standby in any one of the three configurations in action at any given point of time. In other words, it employs three different configurations in its fault tolerant pursuit and only one configuration remains in operation making two of the three identical logic circuits run in parallel at any given point of time leaving the other being standby. The switching unit holds the responsibility for the selection of a particular configuration in accordance with the directive from the control unit which actuates the control signal to make or break the configuration subject to the outcome of the comparator. Input LC1 LC2 LC3 (a) LC1 Comparator Output The comparator authenticates the proper functioning of the configuration in use and ensures the fault free operation with a logic 0 output when no faults present in the system. On the contrary, it produces a logic 1 output when it senses the presence of faults in any of the two modules run in parallel for the current configuration. The active high output of the comparator mandates the control unit to break the present configuration and make the next configuration in line with a view to tolerate the faults in the system. The scheme reaps the benefit of combining both information redundancy and hardware redundancy together in the process of detecting and tolerating both temporary and permanent faults. The information redundancy aids in the process of detecting the faults while hardware redundancy helps to achieve fault tolerance. The duplication and comparison strategy serves the exclusive purpose of detecting the faults in any of the two logic circuits in operation. The inclusion of the third logic circuit accomplishes the task of tolerating the presence of faults. Input I 1 I 2 I 3 Configuration Selection Logic LC 1 LC 2 LC 3 O 1 O 2 O 3 Control Logic Figure. 1 Fault tolerant architecture Comparator Clk Output Input Input LC2 LC3 LC1 LC2 LC3 (b) Comparator Comparator Output Output (c) Fig. 2 The three different configurations of the architecture (a) Configuration 1, (b) Configuration 2, (c) Configuration 3. The Fig. 1 shows the functional block diagram of the proposed fault tolerant architecture while Fig. 2 illustrates the three different configurations of the fault tolerant design. The design strategy inculcate the system to operate with the first two logic circuits (lc1 & lc2) run in parallel and keep the third1ogic circuit (lc3) standby in configuration 1 (cnfg 1) in its fault free state. The incursion of faults in either lc1 or lc2 forces the system to switch over to the second configuration (cnfg 2) in which the second and third logic circuits (lc2 & lc3) run concurrently whereas lc1 remains standby. The third configuration (cnfg 3) with lc1 and lc3 run simultaneously and lc2 stays standby comes into effect when the system malfunctions with cnfg2. Thus, only two out of the three logic circuits run at any given point of time and the other remains standby which in turn results in dynamic power saving by a factor of 33%. 4. Results and Discussion 1089

4 The Modelsim based simulation results presented from Figs. 3 through 5 relate the sequence of events that occur during the fault tolerant pursuit of the proposed scheme. The result in Fig.3 illustrates the fault free operating state of the 2:4 decoder designated as the circuit under test whereas the results available in Figs. 4 and 5 elucidate the ability of the proposed strategy to retain the decoder in its fault tolerant state. The response seen in Fig.3 explains the fact that the 00 output of the control unit (cont) implies the fault free operation of the circuit and keeps cnfg1 in action in which lc1 and lc2 run in parallel while lc3 remains standby. It is seen that the two functioning modules lc1 and lc2 provide the output as 1000 and the primary output signal (dout) reflects the same for a given input combination of 11 and at the same time the output of lc3 is in high impedance state as it remains as standby in cnfg1. Fig. 3 Response of the circuit with cnfg1 is in action 1090

5 The appearance of a permanent stuck-at-1 fault on the third output line of lc2 at the 500 th ns forces the control unit to change the configuration from cnfg1 to cnfg2 by generating the control signal as 01 which corresponds to the second configuration cnfg2. The control signal of 01 at the 600 th ns enables cnfg2 at the 700 th ns which in turn facilitates the concurrent functioning of lc2 and lc3 and keeps lc1 as standby as illustrated in Fig.4. Fig. 4 Response of the circuit with cnfg2 is in operation 1091

6 The Fig. 5 narrates the sequence of events which begins with the transition of the control signal from 01 to 10 at the 900 th ns which mandates the system to switch over from cnfg2 to cnfg3 at the 1000 th ns as the problem lies with lc2. The third configuration cnfg3 employs lc1 and lc3 as the work horses and keep the error prone lc2 aside from action in an effort to provide the true outputs on the primary output lines. Fig. 5 Response of the circuit under cnfg3 1092

7 The results obtained for the 2:4 decoder evince the fact that the circuit remains fault tolerant and continues to render its true services even in the presence of faults thanks to the innate ability of the corrective mechanism inherently associated with it. The ability of the design to lay down corrective measures to protect the system against faults and provide reliable performance epitomizes its fault tolerant attribute. Further, the proposed fault tolerant architecture reiterates its promise to tolerate the presence of faults with a dynamic power saving of 33% compared to traditional TMR approach as it employs only two logic circuits out of the three at any given point of time. Modern day FPGAs receive wide spread use in many fields owing to their ability to reconfigure themselves that too on the run time which in makes them much suitable for fault tolerant designs. The real time implementation of the proposed fault tolerant architecture using Xilinx 9.2i foundation series with XC3S500E FPGA serves to validate the simulated performance. 5. Conclusion A hybrid fault tolerant architecture has been evolved with a view to tolerate temporary as well as permanent faults occurring in digital systems. The procedure has been formulated to sense the occurrence of faults at the combinational logic of digital systems and nullify their negative impact on the system in an effort to bring out the reliable performance. The Modelsim based simulation results obtained for a 2:4 decoder demonstrate the ability of the fault tolerant strategy to enable the circuit to produce true outputs even in the presence of faults. The exclusive benefits of the proposed architecture have been primarily realized in terms of dynamic power saving compared to traditional TMR based fault tolerant systems. The VHDL code developed for the proposed fault tolerant architecture has been experimentally validated with the help of XC3S500E FPGA on Xilinx Foundation series ISE 9.2i. References [1] R.H.-M. Huang, D.K.-H. Hsu, C. H.-P. Wen, A Determinate Radiation Hardened Technique for Safety-Critical CMOS Designs, Springer Science, J Electron Test, Volume 31, pp , [2] N. Zhu, WL. Goh, W. Zhang, KS. Yeo, and ZH. Kong, Design of Low-Power High-Speed Truncation-Error-Tolerant Adder and Its Application in Digital Signal Processing, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 18, No. 8, pp , August [3] A. Sari and M. Akkaya, Fault Tolerance Mechanisms in Distributed Systems, Int. J. Communications, Network and System Sciences, Volume 8, pp , [4] SN. Mahammad and K. Veezhinathan, Constructing Online Testable Circuits Using Reversible Logic, IEEE Transactions on Instrumentation And Measurement, Vol. 59, No. 1, pp , January [5] Y. Rui, C. Qinqin, L. Zengwu and S. Yanmei, Multi-objective evolutionary design of selective triple modular redundancy systems against SEUs, Chinese Journal of Aeronautics, Volume 28, No. 3, pp , [6] T. Du, HE. ChaoHui, LI. YongHong, Z. Hang, X. Cen and Z. JinXin, Soft error reliability in advanced CMOS technologies trends and challenges, Science China Tech Sci, Volume 57, pp , [7] N. G. Pandharpurkar and V. Ravi, Design of BIST using Self-Checking Circuits for Multipliers, Indian Journal of Science and Technology, Volume 8, No.19, pp. 1-7, August [8] P. Balasubramanian and K. Prasad, A Fault Tolerance Improved Majority Voter for TMR System Architectures, WSEAS Transactions on Circuits and Systems, Volume 15, pp , [9] J. Tonfat, F. Kastensmidt and R. Reis, Energy Efficient Frame-level Redundancy Scrubbing Technique for SRAM-based FPGAs, IEEE Transactions on Adaptive Hardware and Systems, [10] S. Almukhaizim and Y. Makris, Fault Tolerant Design of Combinational and Sequential Logic Based on a Parity Check Code, in Proc. of IEEE Int. Symp. on Defect and Fault Tolerance in VLSI Systems, pp , [11] P. Shivakumar, M. Kistler, SW. Keckler, D. Burger and L. Alvisi, Modeling the effect of technology trends on the soft error rate of combinational logic, Int. Conf. on Dependable Systems and Networks, pp , [12] M. Nicolaidis, L. Anghel, N. Achouri, Memory defect tolerance architectures for nanotechnologies, Journal of Electronic Testing, Volume 21, No 4, pp , [13] M. Zhang, S. Mitra, TM. Mak, N. Seifert, NJ. Wang, Q. Shi, KS. Kim, NR. Shanbhag, SJ. Patel, Sequential element design with built in soft error resilience, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume 14, No 12, pp ,

8 [14] D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner and T. Mudge, Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation, Proc. of the 36th Annual IEEE/ACM Int. Sym. on Microarchitecture (MICRO- 36), pp. 7 18, December [15] S. Das, C. Tokunaga, S. Pant, W-H. Ma, S. Kalaiselvan, K. Lai, D.M. Bull and D.T. Blaauw, Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance, IEEE Journal of Solid-State Circuits, vol. 44, No 1, pp , [16] J. Velamala, R LiVolsi, M Torres and Y Cao, Design sensitivity of Single Event Transients in scaled logic circuits, Design Automation Conf., pp , [17] M. E. Imhof, H.-J.Wunderlich, Soft Error Correction in Embedded Storage Elements, Proc. of IEEE International On-Line Testing Symposium (IOLTS11), pp , [18] L. Fang and M. S. Hsiao, Bilateral Testing of Nano-scale Fault-tolerant Circuits, in Proc. of IEEE Int. Symp. on Defect and Fault-Tolerance in VLSI Systems, pp ,

9 1095

10 1096

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Highly Reliable Arithmetic Multipliers for Future Technologies

Highly Reliable Arithmetic Multipliers for Future Technologies Highly Reliable Arithmetic Multipliers for Future Technologies Lisbôa, C. A. L. Instituto de Informática - UFRGS Av. Bento Gonçalves, 9500 - Bl. IV, Pr. 43412 91501-970 - Porto Alegre - RS - Brasil calisboa@inf.ufrgs.br

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December-2013 1013 FPGA Implementation of a Self Healing Strategy for Interconnect Faults in Digital Measurement Circuits

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction S.Anil Kumar M.Tech Student Department of ECE (VLSI DESIGN), Swetha Institute

More information

Design and Implementation of Low Power Testing Using Advanced Razor Based Processor

Design and Implementation of Low Power Testing Using Advanced Razor Based Processor International Journal of Applied Engineering Research ISSN 973-4562 Volume 12, Number 17 (217) pp. 6384-639 Design and Implementation of Low Power Testing Using Advanced Razor Based Processor R.Karthick

More information

Low-Power Soft Error Hardened Latch

Low-Power Soft Error Hardened Latch Low-Power Soft Error Hardened Latch Hossein Karimiyan Alidash 1 and Vojin G. Oklobdzija 2 1 ECE Department, Isfahan University of Technology, Isfahan 74155, Iran 2 University of Texas at Dallas, Richardson,

More information

Implementation of Soft Error Tolerant Filters for Error Detection and Correction Using ECC

Implementation of Soft Error Tolerant Filters for Error Detection and Correction Using ECC Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 691-700 Research India Publications http://www.ripublication.com Implementation of Soft Error Tolerant Filters

More information

Embedded Error Compensation for Energy Efficient DSP Systems

Embedded Error Compensation for Energy Efficient DSP Systems Embedded Error Compensation for Energy Efficient DSP Systems Sai Zhang Student Member, IEEE and Naresh R. Shanbhag, Fellow, IEEE Abstract Algorithmic noise-tolerance (ANT) is an effective statistical error

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

Comparative analysis of self checking and monotonic logic Techniques for combinational circuit testing

Comparative analysis of self checking and monotonic logic Techniques for combinational circuit testing C o m p a r a t i v e a n a l y s i s o f s e l f c h e c k i n g a n d m o n o t o n i c l o g i c T e c h n i q u e s... Comparative analysis of self checking and monotonic logic Techniques for combinational

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Design Of Low Power Approximate Mirror Adder Sasikala.M 1, Dr.G.K.D.Prasanna Venkatesan 2 ME VLSI student 1, Vice Principal, Professor and Head/ECE 2 PGP college of Engineering and Technology Nammakkal,

More information

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA Indian Journal of Science and Technology, Vol 8(17), DOI: 10.17485/ijst/20/v8i17/76237, August 20 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Memory Design using Low Voltage Complementary

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Design of BIST using Self-Checking Circuits for Multipliers

Design of BIST using Self-Checking Circuits for Multipliers Indian Journal of Science and Technology, Vol 8(19), DOI: 10.17485/ijst/2015/v8i19/77006, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design of BIST using Self-Checking Circuits for

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information

Design & Implementation of Low Power Error Tolerant Adder for Neural Networks Applications

Design & Implementation of Low Power Error Tolerant Adder for Neural Networks Applications Design & Implementation of Low Error Tolerant Adder for Neural Networks Applications S N Prasad # 1, S.Y.Kulkarni #2 Research Scholar, Jain University, Assistant Registrar (Evaluation), School of ECE,

More information

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 7, July 2015, pg.21

More information

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers IOSR Journal of Business and Management (IOSR-JBM) e-issn: 2278-487X, p-issn: 2319-7668 PP 43-50 www.iosrjournals.org A Survey on A High Performance Approximate Adder And Two High Performance Approximate

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Optimizing Design of Fault-tolerant Computing Systems

Optimizing Design of Fault-tolerant Computing Systems Optimizing Design of Fault-tolerant Computing Systems Milos Krstic HDT 2017, 1st Workshop on Hardware Design and Theory, Agenda 1 Motivation 2 Fault Tolerant Methods 3 Methods for reducing the overhead

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA Balkaran S. Gill, Chris Papachristou, and Francis G. Wolff Department of Electrical Engineering and Computer Science Case Western

More information

Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function

Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function Avijit Dutta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

AN ADVANCED VLSI ARCHITECTURE OF PARALLEL MULTIPLIER BASED ON HIGHER ORDER MODIFIED BOOTH ALGORITHM

AN ADVANCED VLSI ARCHITECTURE OF PARALLEL MULTIPLIER BASED ON HIGHER ORDER MODIFIED BOOTH ALGORITHM International Journal of Industrial Engineering & Technology (IJIET) ISSN 2277-4769 Vol. 3, Issue 3, Aug 2013, 75-80 TJPRC Pvt. Ltd. AN ADVANCED VLSI ARCHITECTURE OF PARALLEL MULTIPLIER BASED ON HIGHER

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

Project UPSET: Understanding and Protecting Against Single Event Transients

Project UPSET: Understanding and Protecting Against Single Event Transients Project UPSET: Understanding and Protecting Against Single Event Transients Stevo Bailey stevo.bailey@eecs.berkeley.edu Ben Keller bkeller@eecs.berkeley.edu Garen Der-Khachadourian gdd9@berkeley.edu Abstract

More information

AREA EFFICIENT LOW ERROR COMPENSATION MULTIPLIER DESIGN USING FIXED WIDTH RPR

AREA EFFICIENT LOW ERROR COMPENSATION MULTIPLIER DESIGN USING FIXED WIDTH RPR AREA EFFICIENT LOW ERROR COMPENSATION MULTIPLIER DESIGN USING FIXED WIDTH RPR N.MEGALA 1,N.RAJESWARAN 2 1 PG scholar,department of ECE, SNS College OF Technology, Tamil nadu, India. 2 Associate professor,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

1. Introduction. 2. Fault modeling in logic

1. Introduction. 2. Fault modeling in logic Formal Modeling and Reasoning for Reliability Analysis Natasa Miskov-Zivanov 1 and Diana Marculescu 2 University of Pittsburgh, 2 Carnegie Mellon University E-mail: nam66@pitt.edu, dianam@cmu.edu 1 Abstract

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information

A New Network Proposal for Fault-Tolerant HVDC Transmission Systems

A New Network Proposal for Fault-Tolerant HVDC Transmission Systems A New Network Proposal for Fault-Tolerant HVDC Transmission Systems Malothu Malliswari 1, M. Srinu 2 1 PG Scholar, Anurag Engineering College 2 Assistant Professor, Anurag Engineering College Abstract:

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect IEICE TRANS. ELECTRON., VOL.E96 C, NO.4 APRIL 2013 511 PAPER Special Section on Solid-State Circuit Design Architecture, Circuit, Device and Design Methodology A Radiation-Hard Redundant Flip-Flop to Suppress

More information

A New Configurable Full Adder For Low Power Applications

A New Configurable Full Adder For Low Power Applications A New Configurable Full Adder For Low Power Applications Astha Sharma 1, Zoonubiya Ali 2 PG Student, Department of Electronics & Telecommunication Engineering, Disha Institute of Management & Technology

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 5, OCTOBER

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 5, OCTOBER IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 5, OCTOBER 2004 2957 Selective Triple Modular Redundancy (STMR) Based Single-Event Upset (SEU) Tolerant Synthesis for FPGAs Praveen Kumar Samudrala, Member,

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Oct. 007 Fault Masking Slide bout This Presentation This presentation has been prepared for the graduate course ECE 57 (Fault-Tolerant Computing)

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET)

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 ISSN 0976-6480 (Print) ISSN

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

Analysis of Reliability for Fault Tolerant Design in NANO CMOS Logic Circuit

Analysis of Reliability for Fault Tolerant Design in NANO CMOS Logic Circuit (JETNSR) VOL: 2, NO 1, 2018 SIATS Journals Journal of Experimental &Theoretical Nanotechnology Specialized Researches (JETNSR) Journal home page: http://www.siats.co.uk Analysis of Reliability for Fault

More information

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 MACGDI: Low MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications N. Subbulakshmi Sri Ramakrishna Engineering

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

DESIGN OF SSASPL BASED SHIFT REGISTERS WITH ADVANCED LEAKAGE POWER REDUCTION APPROACHES. S. K. Sharmila 5, G. Kalpana 6

DESIGN OF SSASPL BASED SHIFT REGISTERS WITH ADVANCED LEAKAGE POWER REDUCTION APPROACHES. S. K. Sharmila 5, G. Kalpana 6 Volume 115 No. 8 2017, 517-522 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGN OF SSASPL BASED SHIFT REGISTERS WITH ADVANCED LEAKAGE POWER

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 415-424 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Paluri Nagaraja 1 Kanumuri Koteswara Rao 2 Nagaraja.paluri@gmail.com 1 koti_r@yahoo.com 2 1 PG Scholar, Dept of ECE,

More information

Diversity TMR: Proof of Concept in a Mixed-Signal Case

Diversity TMR: Proof of Concept in a Mixed-Signal Case Diversity TMR: Proof of Concept in a Mixed-Signal Case Gabriel de M. Borges, Luiz F. Gonçalves, Tiago R. Balen, Marcelo Lubaszewski Universidade Federal do Rio Grande do Sul Departamento de Engenharia

More information

MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM

MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM Ms.V.Kavya Bharathi 1, Mr.M.Sathiyenthiran 2 1 PG Scholar, Department of ECE, Srinivasan Engineering College, Perambalur, TamilNadu, India. 2

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS Moslem Amiri, Václav Přenosil Faculty of Informatics, Masaryk University Brno, Czech Republic, amiri@mail.muni.cz, prenosil@fi.muni.cz

More information

2852 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 59, NO. 6, DECEMBER 2012

2852 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 59, NO. 6, DECEMBER 2012 2852 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 59, NO. 6, DECEMBER 2012 DARA: A Low-Cost Reliable Architecture Based on Unhardened Devices and Its Case Study of Radiation Stress Test Jun Yao, Member,

More information

Design of 32-bit Carry Select Adder with Reduced Area

Design of 32-bit Carry Select Adder with Reduced Area Design of 32-bit Carry Select Adder with Reduced Area Yamini Devi Ykuntam M.V.Nageswara Rao G.R.Locharla ABSTRACT Addition is the heart of arithmetic unit and the arithmetic unit is often the work horse

More information

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Lisboa, C. A. 1, Kastensmidt, F. L. 1, Henes Neto, E. 2, Wirth, G. 3, Carro, L. 1 {calisboa, fglima}@inf.ufrgs.br,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Fault Tolerance Systems for Combinational Circuits

Fault Tolerance Systems for Combinational Circuits ISSN (e): 2250 3005 Volume, 07 Issue, 09 September 2017 International Journal of Computational Engineering Research (IJCER) Fault Tolerance Systems for Combinational Circuits Jyoti M Gadekar 1, Prof. S.S.

More information

Figure 1 Basic Block diagram of self checking logic circuit

Figure 1 Basic Block diagram of self checking logic circuit Volume 4, Issue 7, July 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design Analysis

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 Schemes for Eliminating Transient-Width Clock Overhead From SET-Tolerant Memory-Based Systems Daniel R. Blum, Student Member, IEEE,

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

High Speed Energy Efficient Static Segment Adder for Approximate Computing Applications

High Speed Energy Efficient Static Segment Adder for Approximate Computing Applications J Electron Test (2017) 33:125 132 DOI 10.1007/s10836-016-5634-9 High Speed Energy Efficient Static Segment Adder for Approximate Computing Applications R. Jothin 1 & C. Vasanthanayaki 2 Received: 10 September

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC V.Reethika Rao (1), Dr.K.Ragini (2) PG Scholar, Dept of ECE, G. Narayanamma Institute of Technology and Science,

More information

Design of Static Segment Adder for Approximating Computing Applications

Design of Static Segment Adder for Approximating Computing Applications Design of Static Segment Adder for Approximating Computing Applications T.Gopalakrishnan, Department of Electronics and Instrumentation Engineering, Dr.Mahalingam college of Engineering and Technology,

More information

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 A Novel Multiplier

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic FPGA Implementation of Area Efficient and Delay Optimized 32-Bit with First Addition Logic eet D. Gandhe Research Scholar Department of EE JDCOEM Nagpur-441501,India Venkatesh Giripunje Department of ECE

More information

Synthesis of Low Power CED Circuits Based on Parity Codes

Synthesis of Low Power CED Circuits Based on Parity Codes Synthesis of Low CED Circuits Based on Parity Codes Shalini Ghosh 1, Sugato Basu 2, and Nur A. Touba 1 1 Dept. of Electrical and Computer Engineering, University of Texas, Austin, TX 78712 {shalini,touba}@ece.utexas.edu

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information