Low-Power Soft Error Hardened Latch

Size: px
Start display at page:

Download "Low-Power Soft Error Hardened Latch"

Transcription

1 Low-Power Soft Error Hardened Latch Hossein Karimiyan Alidash 1 and Vojin G. Oklobdzija 2 1 ECE Department, Isfahan University of Technology, Isfahan 74155, Iran 2 University of Texas at Dallas, Richardson, TX 75080, USA Hkarimiyan@ec.iut.ac.ir, Vojin@utdallas.edu Abstract. This paper presents a low-power soft error-hardened latch suitable for reliable circuit operation. The proposed circuit uses redundant feedback loop to protect latch against soft error on the internal nodes, and transmission gate and Schmitt-trigger circuit to filter out transient resulting from particle hit on combinational logic. The proposed circuit has low power consumption with negative setup time and low timing overhead. The HSPICE post-layout simulation in 90nm CMOS technology reveals that circuit is able to recover from almost any single particle strike on internal nodes and tolerates input SETs up to 130ps of duration. Keywords: soft-error, static latch, hardened latch, reliability. 1 Introduction Continuous advances of microelectronic technology are leading to an aggressive shrinkage of device dimensions. The consequent node capacitance reduction along with the power supply voltage reduction [20], the amount of stored charge on each circuit node is becoming smaller. That smaller stored charge and higher operating frequency now make circuits more vulnerable to soft errors caused by charge deposited directly by alpha particle or indirectly by cosmic ray neutrons [1]. As a result soft errors which were traditionally regarded as reliability issue for space applications are going to be a terrestrial issue. A study on radiation flux noted that particles with lower energy occur far more frequently than particles with higher energy [1]. So as CMOS device sizes decrease, it becomes more possible to be affected by lower energy particles, potentially leading to higher soft error rate. Although package and process engineering may reduce alpha particle problem, there is no physical obstacle to cosmic neutrons. Thus improved circuit designs to reduce soft error vulnerability are becoming mainstream approach for high reliability systems. Latches and flip-flops are also becoming more susceptible to particle strike on external logic and their own internal nodes, hence more hardening and soft error protection is required. Most common protective methods at circuit level are based on redundancy, namely temporal and spatial redundancy. Those methods, as well as scan based soft error resilient latch [16] and even transient detection of RAZOR I & II J. Monteiro and R. van Leuken (Eds.): PATMOS 2009, LNCS 5953, pp , Springer-Verlag Berlin Heidelberg 2010

2 Low-Power Soft Error Hardened Latch 257 flip-flop [14] cannot tolerate soft error due to particle hit in combinational logic. The temporal redundancy based latches as well as those based on Schmitt-trigger [11] and transmission gate [13] filtering effects has extended setup time problem. The idea in this work is to reduce single event upset (SEU) and single event transient (SET) susceptibility with less timing overhead. The rest of this paper is organized as follows. In section 2, the previous works will be reviewed quickly. Section 3 proposes the SER-tolerant latch. Section 4 gives simulation results and section 5 concludes the paper. 2 Latch-Hardening Methods In this section, starting with some basic definitions recent publication and latch hardening methods will be reviewed. An energetic particle may hit logic gates, storage elements, or clock network of a digital circuit. When it hits the logic gates, it causes a glitch in the output voltage of the gate which is called single event transient (SET) [1][2]. When a particle directly hits an internal node of a latch or flip-flop, it may change stored data and cause single event upset (SEU). Also SET may generate and propagate through the combinational part and captured by storage element which turns into an SEU [3]. If a particle hits a control signal, such as the clock signal, it generates a false control signal which can results in an unwanted data latch or timing violation [4]. The rate at which soft errors occur is referred to as soft error rate (SER). Traditionally memories are protected by Error Correction Codes (ECC). Storage elements, i.e. latches and flip-flops, have also received more attention to make them more robust to soft errors [11]. However, recent studies indicate that logic also will be more vulnerable to soft error [2][5]. Gate sizing to mitigate SET effect at logic level [15] as well as guard ring effect [18], and negative feedback effect are studied extensively [17]. The objectives of those studies are to design and size gates in a way that they attenuate transient voltages caused by particle strike. During the process of transient voltage propagation in logic circuits, a SET could be masked by logical, electrical, or latching-window masking [5][20]. These three mechanisms prevent some SETs from being latched and alleviate the soft error rate in digital systems. However, due to continuous scaling trends, increased frequency and wide spectrum of particle energy, the probability that transient voltage reaches storage elements in capturing window and getting latched is increasing, and so does the soft error rate. Thus circuit level hardening techniques are required. The simplest form of hardening technique for latches and flip-flops is to increase stored node charge by adding resistance or capacitance [8] which degrade circuit speed and increase power consumption. While error-correcting codes and latch-hardening designs can be used to reduce the effect of SEUs, complete protection against SETs is much more difficult and involves either spatial or temporal redundancy. The spatial redundancy uses multiple copies of a given circuit with majority voting to determine correct outputs. One effective way to overcome SEU effects is to triplicate each latch of the system and use Triple Module Redundancy (TMR-latch). Although TMR-latches are highly reliable and widely used [6], this technique suffers from high area and energy consumption overhead.

3 258 H. Karimiyan Alidash and V.G. Oklobdzija The temporal redundancy technique uses delayed sample of data, and is more acceptable for conventional designs due to its lower power consumption. This technique can eliminate all SET pulses which are smaller than a certain threshold value. The major drawback of this method is its delay penalty. Moreover it cannot guarantee fault-free operation. The dual interlock storage element (DICE) is another SER-hardened topology based on spatial redundancy. It has been introduced [9] and applied to a standard 6T memory cell [10] and used in pulsed latch [10][19]. The hardened feedback of DICE circuit has the potential to improve SEU robustness of latch circuits without degrading its speed too much; however it comes with area and power penalty [19]. Redundant feedback loop is another soft error hardening method used in basic latch. According to analyses in [3], soft error of the internal nodes in feedback loop, i.e. node B and C in Fig. 1, has the major contribution to the total SER. If a particle strike causes an unexpected voltage drop or rise inside the loop during the holding mode, the stored value in the latch may change depending on the amount of deposited charge. Our study also shows that node B is much more sensitive than node C. The SIN-LC latch [3] is proposed to solve the SER issue of basic latch; Fig. 2(a) shows the detailed schematic of this latch. It consists of duplicating feedback loop and C-element making the latch output change only when both duplicated nodes have the same logic value. Besides to sizing issue, the SIN-LC suffers from contention Fig. 1. Positive Level Sensitive Latch Fig. 2. Redundant Feedback Latch [3] (a) SIN-LC, (b) SIN-HR

4 Low-Power Soft Error Hardened Latch 259 drawback that occurs when the latch is in the transparent mode. The SIN-HR [3] of Fig. 2(b) is proposed to solve those problems, but the main problem still exists. The FERST [7] is another latch which is also based on duplicated feedback loop idea and tries to solve contention problem, but it comes up with large area, timing and power overhead. Those methods, as well as scan based SER resilient latch [16] and even transient detection of RAZOR II flip-flop [14] cannot tolerate soft error due to capturing SET which resulted from particle hit in combinational logic. The transient filtering effect of Schmitt-trigger is studied and a latch based on its property is proposed [11]. The transmission gate [13], negative feedback [17], and circuit layout effect [18] on soft error are also reported separately. 3 Proposed Soft Error Hardened Latch This section proposes a new soft error hardened latch which is based on temporal redundancy, dual feedback loop and incorporates extra glitch filtering. The detailed schematic of proposed latch is shown in Fig. 3. It employs C-element and controlled feedback loops to achieve low-power and filter out particle strike effect. Data redundancy and glitch filtering is used to reduce SET coming from combinational logic. Filtering is done in two steps. First the transmission gate based filter with hysteresis effect attenuates SETs and also adds delay to create temporal redundancy. At the second step, a C-element is used to filter out more SETs and reduce SEUs. The proposed circuit is a pulsed latch and operates as follows in transparent and hold modes. A general purpose pulse generator circuit is used to generate tiny pulses on each clock edges. During the small period of tiny pulses the latch is transparent and feedback loops composed by X3-TG3 and X4-TG4 pairs are open. The data path is divided into two paths, normal and filtered. The pass transistors in the data paths, i.e. TG1 and TG2, are conducting and works as a low-pass filter [13]. The hysteresis Fig. 3. The Soft Error Hardened Latch

5 260 H. Karimiyan Alidash and V.G. Oklobdzija effect is achieved using MP3 and MN3. During transparent time input data and its delayed/filtered version are applied to the C-element composed by MP4, MP5, MN4, and MN5. If both versions are the same and it is not a glitch, the output will change accordingly, unless the previous value is preserved. In other words, the data will be latched on the condition that it is not a single transient. After tiny transparent pulse, the latch switches to hold state. In this period data paths are blocked and feedback loops are closed. The sensitive nodes in the proposed circuit are B1, C1, B2, and C2. If particle hit one of those nodes, it generates voltage spikes but dual feedback connection and C-element will remove its effect. The temporal redundancy is implemented by adding a delay to the data path. The required delay value in the data path should be longer than the duration of the possible longest SET in order to effectively filter it out. The timing diagram in Fig. 4(a) shows the positive setup time of an ordinary clocked storage element. Applying temporal redundancy increases setup time (Fig. 4(b)) resulting in performance penalty. As a result, window of vulnerability (WOV) [3] to particle hit is also increases. The proposed topology uses the resulted negative setup time [21] of pulsed latches to mitigate setup time penalty. Applying the temporal redundancy to the pulsed latch (Fig. 4(c)) adds the positive delay value to the negative setup time, thus the setup time of hardened pulsed latch in Fig. 4(d) is still smaller than ordinary latch. Fig. 4. Setup time (a) and (b) ordinary clocking, (c) and (d) pulsed clocking, (b) and (d) after applying hardening methods 5 Simulation Result The proposed latch has been implemented using 90nm CMOS technology with 1.2V power supply voltage. In order to have realistic waveforms and rise/fall times the simulation setup, shown in Fig. 5, is used for all cases [21]. Due to inverted output of proposed circuit, inverter is added to the inputs whenever that it was needed. Regardless of the type of particle (alpha particle or neutron), when it hits the drain of the MOSFET and loses energy, electron-hole pairs with a very high carrier concentration are generated. The resulting charges can be rapidly collected by the electric field to create a large transient current at that node [1]. The whole process of charge deposit and distribution is complicated, but for sake of simplicity, it is modeled by exponential current source with varying current levels and time constants to emulate particles with different energy level [3][7].

6 Low-Power Soft Error Hardened Latch 261 Fig. 5. Simulation Setup Fig. 6. Latch normal operation (a) System Clock, tiny pulses (b) CLK and (c) CLKB, (d) Data Input D, and (d) Output Q The performance of the proposed latch has been verified in the normal and particle affected mode. The particle affected mode is also divided in two modes. The first is SEU verification on internal nodes, which verifies robustness of the circuit against particles which hit on internal sensitive nodes. The second verifies filtering effect and circuit robustness against the particle hit on combinational part and the resulted SET, which reaches the latch on the sampling window. Fig. 6 shows circuit s normal operation and Fig. 7 indicates its basic data to output delay (t DQ ) and setup time variation. This figure also gives the setup time and delay for ordinary latch of Fig. 1 in inverted mode, and proposed circuit in level sensitive mode for comparison. The flat region on the data-to-output delay and negative setup time of proposed latch reveals that if data arrive close to clock edge or even 40ps after clock edge, the latch is still able to capture it correctly [12]. While same circuit in

7 262 H. Karimiyan Alidash and V.G. Oklobdzija Fig. 7. Data to output delay variation and setup time of hardened latch with pulsed clocking, ordinary latch and hardened latch with level sensitive clocking Fig. 8. Latch operation under particle affected mode, (a) Injected charge at 1.5ns, (b) Injected charge at 3.5ns, (c) Clock, (d) Data, and (e) Hardened latch output is still stable after particle hit on node B1 and C1 in Fig. 3, and (f) Ordinary latch output of Fig. 1 is unstable after 12.6fC and 19.8fC charge injection to nodes B and C, respectively. level sensitive mode and with almost same output delay comes with large positive setup time. The extra negative setup time could be invested in input path by adding extra delay, to filter out more SETs.

8 Low-Power Soft Error Hardened Latch 263 The single particle hit on sensitive nodes is modeled by current injection to those nodes. Different current level is used to model particles with different energy levels. Charges are injected at 1.5ns and 2.5ns, to the nodes B1 and C1 in Fig. 3, and nodes B and C in Fig. 1. Fig. 8 shows the results and indicates that the ordinary latch output is unstable after 12.6fC and 19.8fC charge injection to nodes B and C, respectively. While the dual feedback structure of proposed circuit is able to recover from almost any single particle hit and resulting charge deposit. The particle hit on combinational logic deposits charge which causes voltage glitch at gate s output. After passing levels of logics, those glitches convert to voltage pulses which may reaches to the latch on-time and gets captured. This phenomenon is modeled by voltage pulses with different width on the data line to represent various Fig. 9. SET filtering, (a) input, (b) latch output Table 1. Different latches setup time, delay, power consumption and power-delay-product comparison (* - TMR Specification is estimated) Latch Type Setup Delay Power PDP Time Basic latch (Fig. 1) 20ps 55ps 8.0µW 0.44fJ Fig. 3 in level 60ps 120ps 23.3µW 2.8fJ sensitive Fig. 3 in pulsed -35ps 120ps 21.2µW 2.5fJ mode TMR Latch* 60ps 120ps 24 µw 2.88fJ

9 264 H. Karimiyan Alidash and V.G. Oklobdzija particle energy levels. Fig. 9 shows the results and indicates that the proposed latch is able to filter out SETs with up to 130ps pulse width. Table 1 compare the specifications of basic latch and proposed circuit with TMR latch as a representative of hardened latches. 5 Conclusion In this work, an SER-Hardened pulsed latch is proposed. The latch is able to tolerate particle strike on internal nodes as well as SET occurring in combinational logic. The HSPICE simulation on 90nm CMOS technology is conducted to precisely evaluate the proposed circuit in normal and under particle strike mode. The circuit operates as edge triggered flip-flop which is resilient to SET from combinational logic as well as SEU resulting from internal nodes. Extra reliability enhancement is achievable by careful layout design. In comparison with the TMR-Latch as a representative for SERhardened designs, proposed circuit has less power consumption and lower timing overhead, which make it suitable for low-power reliable applications. Acknowledgment This work was performed at the Univ. of Texas at Dallas and supported in part by the SRC, under Grant No HJ The authors would like to appreciate Dr. Mustafa AKTAN and Dursun BARAN for their review and valuable comments. References 1. Baumann, R.: Soft Errors in Advanced Computer Systems. IEEE Design and Test of Computers 22(3), (2005) 2. Mitra, S., Zhang, M., Mak, T.M., Seifert, N., Zia, V., Kim, K.S.: Logic soft errors: a major barrier to robust platform design. In: Proc. Int. Test Conference, November 2005, pp (2005) 3. Omana, M., Rossi, D., Metra, C.: Latch susceptibility to transient faults and new hardening approach. IEEE Trans. Comput. 56(9), (2007) 4. Seifert, N., Shipleg, P., Pant, M.D., Ambrose, V., Gil, B.: Radiation induced clock jitter and race. In: Int. Physics Reliability Symposium, April 2005, pp (2005) 5. Shivakumar, P., Kistler, M., Keckler, S.W., Burger, D., Alvisi, L.: Modeling the effect of technology trends on the soft error rate of combinational logic. In: Proc. Int l Conference on Dependable Systems and Networks (DSN), June 2002, pp (2002) 6. Kastensmidt, F., Sterpone, L., Sonza Reorda, M., Carrro, L.: On the optimal design of triple modular redundancy logic for SRAM-based FPGAs. In: Proc. IEEE Design, Automation and Test in Europe, pp (2005) 7. Fazeli, M., Miremadi, S.G., Ejlali, A., Patooghy, A.: Low energy single event upset/single event transient-tolerant latch for deep submicron technologies. IET Computers & Digital Techniques 3(3), (2009) 8. Karnik, T., Vangal, S., Veeramachaneni, V., Hazucha, P., Erraguntla, V., Borkar, S.: Selective node engineering for chip-level soft error rate improvement. In: IEEE Symp. VLSI Circuits, June 2002, pp (2002)

10 Low-Power Soft Error Hardened Latch Calin, T., Nicolaidis, M., Velazco, R.: Upset hardened memory design for submicron CMOS technology. IEEE Trans. Nucl. Sci. 43, (1996) 10. Hazucha, P., Karnik, T., Walstra, S., Bloechel, B.A., Tschanz, J.W., Maiz, J., Soumyanath, K., Dermer, G.E., Narendra, S., De, V., Borkar, S.: Measurements and analysis of SERtolerant latch in a 90-nm dual-vt CMOS process. IEEE Journal of Solid-State Circuits 39(9), (2004) 11. Sasaki, Y., Namba, K., Ito, H.: Circuit and Latch Capable of Masking Soft Errors with Schmitt Trigger. J. Electron. Test., (June 2008) 12. Oklobdzija, V.G.: Clocking and Clocked Storage Elements in a Multi-Gigahertz Environment. IBM J. of Research and Development 47(5/6), (2003) 13. Kumar, J., Tahoori, M.B.: Use of pass transistor logic to minimize the impact of soft errors in combinational circuits. In: Workshop on System Effects of Logic Soft Errors (2005) 14. Das, S., Tokunaga, C., Pant, S., Ma, W.-H., Kalaiselvan, S., Lai, K., Bull, D.M., Blaauw, D.T.: RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance. IEEE J. of Solid-State Circuits 44(1), (2009) 15. Dabiri, F., Nahapetian, A., Massey, T., Potkonjak, M., Sarrafzadeh, M.: General Methodology for Soft-Error-Aware Power Optimization Using Gate Sizing. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 27(10), (2008) 16. Mitra, S., Seifert, N., Zhang, M., Shi, Q., Kim, K.S.: Robust system design with built-in soft-error resilience. Computer 38(2), (2005) 17. Narasimham, B., Bhuva, B.L., Holman, W.T., Schrimpf, R.D., Massengill, L.W., Witulski, A.F., Robinson, W.H.: The Effect of Negative Feedback on Single Event Transient Propagation in Digital Circuits. IEEE Trans. on Nuclear Science 53(6), (2006) 18. Narasimham, B., Shuler, R.L., Black, J.D., Bhuva, B.L., Schrimpf, R.D., Witulski, A.F., Holman, W.T., Massengill, L.W.: Quantifying the Reduction in Collected Charge and Soft Errors in the Presence of Guard Rings. IEEE Trans. on Device and Materials Reliability 8(1), (2008) 19. Stackhouse, B., Bhimji, S., Bostak, C., Bradley, D., Cherkauer, B., Desai, J., Francom, E., Gowan, M., Gronowski, P., Krueger, D., Morganti, C., Troyer, S.: A 65 nm 2-Billion Transistor Quad-Core Itanium Processor. IEEE J. of Solid-State Circuits 44(1), (2009) 20. Degalahal, V., Ramanarayanan, R., Vijaykrishnan, N., Xie, Y., Irwin, M.J.: Effect of Power Optimizations on Soft Error Rate. In: IFIP Series on VLSI-SoC, pp Springer, Heidelberg (2006) 21. Oklobdzija, V.G., Stojanovic, V.M., Markovic, D.M., Nedovic, N.: Digital System Clocking: High Performance and Low-Power Aspects. Wiley-IEEE (2005)

Low-Power Soft Error Hardened Latch

Low-Power Soft Error Hardened Latch Copyright 2010 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Low Power Electronics Vol. 6, 1 9, 2010 Hossein Karimiyan Alidash 1 and Vojin G. Oklobdzija

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect IEICE TRANS. ELECTRON., VOL.E96 C, NO.4 APRIL 2013 511 PAPER Special Section on Solid-State Circuit Design Architecture, Circuit, Device and Design Methodology A Radiation-Hard Redundant Flip-Flop to Suppress

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 Schemes for Eliminating Transient-Width Clock Overhead From SET-Tolerant Memory-Based Systems Daniel R. Blum, Student Member, IEEE,

More information

Single Event Upset Mitigation in Low Power SRAM Design

Single Event Upset Mitigation in Low Power SRAM Design 2014 IEEE 28-th Convention of Electrical and Electronics Engineers in Israel Single Event Upset Mitigation in Low Power SRAM esign Lior Atias, Adam Teman, and Alexander Fish Emerging Nanoscaled Integrated

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications K. Kavitha MTech VLSI Design Department of ECE Narsimha Reddy Engineering College JNTU, Hyderabad, INDIA K.

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Department of Computer Sciences Technical Report 2002-19 Premkishore Shivakumar Michael Kistler Stephen W.

More information

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA Balkaran S. Gill, Chris Papachristou, and Francis G. Wolff Department of Electrical Engineering and Computer Science Case Western

More information

1. Introduction. 2. Fault modeling in logic

1. Introduction. 2. Fault modeling in logic Formal Modeling and Reasoning for Reliability Analysis Natasa Miskov-Zivanov 1 and Diana Marculescu 2 University of Pittsburgh, 2 Carnegie Mellon University E-mail: nam66@pitt.edu, dianam@cmu.edu 1 Abstract

More information

Accurate and computer efficient modelling of single event transients in CMOS circuits

Accurate and computer efficient modelling of single event transients in CMOS circuits Accurate and computer efficient modelling of single event transients in CMOS circuits G.I. Wirth, M.G. Vieira and F.G. Lima Kastensmidt Abstract: A new analytical modelling approach to evaluate the impact

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

A Low Power Fault Tolerant Architecture for Digital Systems

A Low Power Fault Tolerant Architecture for Digital Systems Volume 119 No. 10 2018, 1087-1095 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A Low Power Fault Tolerant Architecture for Digital Systems John

More information

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Appears in the Proceedings of the 2002 International Conference on Dependable Systems and Networks Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Premkishore Shivakumar

More information

Project UPSET: Understanding and Protecting Against Single Event Transients

Project UPSET: Understanding and Protecting Against Single Event Transients Project UPSET: Understanding and Protecting Against Single Event Transients Stevo Bailey stevo.bailey@eecs.berkeley.edu Ben Keller bkeller@eecs.berkeley.edu Garen Der-Khachadourian gdd9@berkeley.edu Abstract

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Feng Wang, Yuan Xie, R. Rajaraman and B. Vaidyanathan The Pennsylvania State University, University Park, PA

More information

COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION

COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION DOI: 10.21917/ijme.2018.0102 COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION S. Bhuvaneshwari and E. Kamalavathi Department of Electronics and Communication Engineering,

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information

Logic SER Reduction through Flipflop Redesign

Logic SER Reduction through Flipflop Redesign Logic SER Reduction through Flipflop Redesign Vivek Joshi*, Rajeev R. Rao, David Blaauw, Dennis Sylvester *Indian Institute of Technology, Kanpur, India 208016 Department of EES, University of Michigan,

More information

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Premkishore Shivakumar Michael Kistler Stephen W. Keckler Doug Burger Lorenzo Alvisi Department of Computer Sciences University

More information

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses in Zhang and Michael Orshansky ECE Department,

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

EFFECT OF POWER OPTIMIZATIONS ON SOFT ERROR RATE

EFFECT OF POWER OPTIMIZATIONS ON SOFT ERROR RATE EFFECT OF POWER OPTIMIZATIONS ON SOFT ERROR RATE Vijay Degalahal, R. Ramanarayanan, Narayanan Vijaykrishnan, Y. Xie, M. J. Irwin Embedded and Mobile Computing Design Center Pennsylvania State University

More information

SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN

SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN Murugeswaran S 1, Shiymala S 2 1 PG Scholar, 2 Professor, Department of VLSI Design, SBM College of Technology, Dindugal, ABSTRACT Tamilnadu,

More information

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM Statistical Characterization of Radiation- Induced Pulse Waveforms and Flip-Flop Soft Errors in 14nm Tri-Gate CMOS Using a Back- Sampling Chain (BSC) Technique Saurabh Kumar 1, M. Cho 2, L. Everson 1,

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1881 A Low-Power and Area-Efficient Radiation-Hard Redundant Flip-Flop, DICE ACFF, in a 65 nm Thin-BOX FD-SOI K. Kobayashi, K. Kubota,

More information

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Lisboa, C. A. 1, Kastensmidt, F. L. 1, Henes Neto, E. 2, Wirth, G. 3, Carro, L. 1 {calisboa, fglima}@inf.ufrgs.br,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Variation Impact on SER of Combinational Circuits

Variation Impact on SER of Combinational Circuits Variation Impact on SER of Combinational Circuits K. Ramakrishnan, R. Rajaraman, S. Suresh, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Pennsylvania State University, University

More information

Highly Reliable Arithmetic Multipliers for Future Technologies

Highly Reliable Arithmetic Multipliers for Future Technologies Highly Reliable Arithmetic Multipliers for Future Technologies Lisbôa, C. A. L. Instituto de Informática - UFRGS Av. Bento Gonçalves, 9500 - Bl. IV, Pr. 43412 91501-970 - Porto Alegre - RS - Brasil calisboa@inf.ufrgs.br

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 2281 Tbulk-BICS: A Built-In Current Sensor Robust to Process and Temperature Variations for Soft Error Detection Egas Henes Neto, Fernanda

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Design of synchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Weidong Kuang, Member IEEE, Peiyi Zhao, Member IEEE, J.S. Yuan, Senior Member, IEEE, and R. F. DeMara, Senior

More information

Tunable transient filters for soft error rate reduction in combinational circuits

Tunable transient filters for soft error rate reduction in combinational circuits Tunable transient filters for soft error rate reduction in combinational circuits Quming Zhou, Mihir R. Choudhury, and Kartik Mohanram Department of Electrical and Computer Engineering Rice University,

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction

An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction An Efficient Digital Signal Processing With Razor Based Programmable Truncated Multiplier for Accumulate and Energy reduction S.Anil Kumar M.Tech Student Department of ECE (VLSI DESIGN), Swetha Institute

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Soft Error Rate Determination for Nanometer CMOS VLSI Logic

Soft Error Rate Determination for Nanometer CMOS VLSI Logic 4th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 6-8, 8 TA.5 Soft Error Rate Determination for Nanometer CMOS VLSI Logic Fan Wang and Vishwani D. Agrawal

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

Fault Tolerance Systems for Combinational Circuits

Fault Tolerance Systems for Combinational Circuits ISSN (e): 2250 3005 Volume, 07 Issue, 09 September 2017 International Journal of Computational Engineering Research (IJCER) Fault Tolerance Systems for Combinational Circuits Jyoti M Gadekar 1, Prof. S.S.

More information

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL by Lixiang Li Submitted in partial fulfilment of the requirements for the degree of Master of Applied Science at Dalhousie University Halifax,

More information

A radiation harden enhanced Quatro (RHEQ) SRAM cell

A radiation harden enhanced Quatro (RHEQ) SRAM cell LETTER IEICE Electronics Express, Vol.14, No.18, 1 12 A radiation harden enhanced Quatro (RHEQ) SRAM cell Chunyu Peng 1a), Ziyang Chen 1, Jingbo Zhang 1,2, Songsong Xiao 1, Changyong Liu 1, Xiulong Wu

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits Rajeev R. Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester Department of EECS, University of Michigan, Ann

More information

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS International Conference on Mathematics, Modelling, Simulation and Algorithms (MMSA 8) The Influence of the Distance between the Strike Location and the Drain on 9nm Dual-Well Bulk CMOS Qiqi Wen and Wanting

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow

Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow R. Leveugle, A. Ammari TIMA Laboratory 46, Avenue Félix Viallet - 38031 Grenoble Cedex FRANCE - E-mail: Regis.Leveugle@imag.fr

More information

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang.

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang. IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES By Hangfang Zhang Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS By Anitha Balasubramanian Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Systems. Mary Jane Irwin ( Vijay Narayanan, Mahmut Kandemir, Yuan Xie

Systems. Mary Jane Irwin (  Vijay Narayanan, Mahmut Kandemir, Yuan Xie Designing Reliable, Power-Efficient Systems Mary Jane Irwin (www.cse.psu.edu/~mji) Vijay Narayanan, Mahmut Kandemir, Yuan Xie CSE Embedded and Mobile Computing Center () Penn State University Outline Motivation

More information

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver Ö. Çobanoǧlu a, P. Moreira a, F. Faccio a a CERN, PH-ESE-ME, 1211 Geneva 23, Switzerland Abstract ozgur.cobanoglu@cern.ch This paper

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Output Waveform Evaluation of Basic Pass Transistor Structure*

Output Waveform Evaluation of Basic Pass Transistor Structure* Output Waveform Evaluation of Basic Pass Transistor Structure* S. Nikolaidis, H. Pournara, and A. Chatzigeorgiou Department of Physics, Aristotle University of Thessaloniki Department of Applied Informatics,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Cost-Effective Radiation Hardening Technique for Combinational Logic

Cost-Effective Radiation Hardening Technique for Combinational Logic Cost-Effective Radiation Hardening Technique for Combinational Logic Quming Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 {quming, kmram}@rice.edu

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Ageing Impact on a High Speed Voltage Comparator with Hysteresis

Ageing Impact on a High Speed Voltage Comparator with Hysteresis Ageing Impact on a High Speed Voltage Comparator with Hysteresis Illani Mohd Nawi, Basel Halak and Mark Zwolinski Electronics and Computer Science, University of Southampton Southampton, SO17 1BJ, U.K

More information

A Radiation Tolerant Phase Locked Loop Design for Digital Electronics

A Radiation Tolerant Phase Locked Loop Design for Digital Electronics 1 A Radiation Tolerant Phase Locked Loop Design for Digital Electronics Rajesh Kumar Vinay Karkala Rajesh Garg Tanuj Jindal Sunil P. Khatri Department of ECE, Texas A&M University, College Station TX 77843.

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information