Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Size: px
Start display at page:

Download "Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses"

Transcription

1 Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses in Zhang and Michael Orshansky ECE Department, University of Texas at ustin bstract This paper is concerned with statically analyzing the susceptibility of arbitrary combinational circuits to single event upsets that are becoming a significant concern for reliability of commercial electronics. For the first time, a fast and accurate technique based on static, vector-less analysis of soft error rates (SER) in general combinational circuits is proposed. The analysis technique is targeted to cell-based designs and characterized by two essential features: () accurate error-pulse propagation and attenuation models of library cells that are based on STlike pre-characterization methods; and (2) an efficient computational procedure for pulse propagation via encoding of the error-pulses with the binary decision diagrams (DD). oth electrical and logical masking mechanisms of soft errors are accurately captured.. Introduction Reliability of commercial electronics with respect to the increasing error rates due to extrinsic radiation, known as soft error, is becoming a significant concern. Historically, the most significant impact of soft errors was on memory units (latches, flip-flops, registers, and arrays). However, as the transistor feature size scales down, soft errors due to combinational logic are becoming more substantial. It is predicted that by 2, the soft error rate (SER) due to combinational logic may be comparable to that of the memory units []. ecause of the increasing error rates in combinational circuitry, new tools and analysis methodologies are needed to ensure circuit reliability. oth micro-architects and circuit designers are in need of predicting error rates for their designs. Once estimated, they can assess the need for hardening the design. soft error due to combinational logic only occurs if the following four conditions are all satisfied: ) a high-energy particle (an alpha particle, or a neutron) hits a +/P+ depletion region of an MOS transistor implementing logic gate; 2) the particle-strike generates a error-pulse at the output of the logic gate; 3) the error-pulse successfully propagates to the flip-flop; 4) the error-pulse is latched by the flip-flop at the clock arrival (sampling) time. The propagation of the error-pulse is impacted by several mechanisms that reduce the overall likelihood of the pulse producing an erroneous value at the flip-flop. s a result, the SER may be substantially lower than the raw particlestrike rate. In the literature [-6], these mechanisms are referred to as electrical masking, logic masking, and latching-window masking. ccurately yet efficiently accounting for the reduction of error rate likelihood due to these masking mechanisms is the focus of this work. Prior work in this area has concentrated on modeling and describing the particle interactions at the very low nuclear level (e.g. [7]), performing device-level simulations to predict the electrical response of individual transistors to a particle strike (e. g. [8]), and performing circuitsimulation of a small set of gates to model the propagation of pulses(e.g. []). Several authors have addressed the problem of SER analysis for general combinational logic [2-4]. ccurately estimating the SER due to particlestrikes on combinational logic gates represents a significant computational challenge. The primary reason is that SER de-ratings due to electrical, logic, and latchingwindow masking are all input-vector dependent. Existing techniques approach this problem by explicitly enumerating all input-vectors, or a set of randomly picked input vectors [2-4]. The problem with these techniques is that the size of the input-vector space is exponential to the number of primary inputs. Therefore, for circuits with a large number of primary inputs, these techniques usually take hours, or even days, to achieve reasonable accuracy [4]. In this paper, we propose an efficient and accurate approach for SER analysis of cell-based designs. The efficiency is achieved by resorting to symbolic representation of the error pulses using binary decision diagrams (DD). The accuracy is guaranteed by relying on the precise description of the non-linear gate transfer characteristics using the SPICE-based pre-characterization of the cells in the library. The rest of the paper is organized as follows. Section 2 describes the cell characterization procedure. In Section 3, we discuss the static analysis of SER. Section 4 presents the experimental results, and we draw conclusion in Section Cell-library Characterization The proposed static SER analysis methodology is targeted towards the use with the cell-based design methodology. ccurate library characterization is thus a key

2 Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 consideration. The two essential characterization steps are pulse generation and pulse attenuation (propagation). 2. Pulse Generation particle-strike on a circuit node results in a voltage pulse of a specific magnitude and shape. The goal of the library characterization is to predict for every library gate, with its specific transistor network, the characteristics of the voltage pulse at its output node, and the rate for the voltage pulse to occur. In this work, we only consider particlestrike due to high-energy neutrons present in the cosmic rays. high-energy particle produces a current pulse when it hits the reverse-biased P junction of the + or P+ regions of an MOS transistor. The resulting current pulse can be described by [][4]: 2q t T Iqt (,) = e s () πts Ts where q is the collected charge, and T s is a time constant dependent on technology. q depends on the energy of the particle, and because the energy of the particle follows some distribution, q is a random quantity. The polarity of the current pulse depends on the type of the depletion region. It flows from the junction to the ground, if the depletion region is n-type, and it flows from Vdd to the junction, if the depletion region is p-type. The rate for the collected charge in the + region to fall in the range between q and q+δq, R () q Δ q, is [][4]: Q R () s q Δ q = F eff e Δ q (2) Qs where F is the flux rate of the high-energy particles, eff is the effective area of the cell s + region, and Q s is a technology-dependent constant. The rate for the collected charge in the P+ region is determined similarly. The severity of the particle strike on a cell is described by the voltage pulse at the cell s output node. The voltage pulse is determined by four factors: current pulse in (), load capacitance of the cell C load, exact location of the + (P+) region the particle-strike occurs, and input-vector of the cell. mong the above four factors, the current pulse, which is characterized by the collected charge q, and C load play the dominant roles. Therefore, for simplicity, given a combination of q and C load, we choose the exact location of the +(P+) region and the input vector of the cell in a way such that the worst-case voltage pulse results. To characterize the voltage pulse, SPICE simulation is performed for each of the data points in the set Ω, defined as, t Ω = [, Q ] [, C ] (3) max q max where Q max is the chosen maximum collected charge, and C max is the maximum load capacitance the cell can have. The outcome of this characterization step is a table of voltage pulses indexed by q and C load for each library gate. 2.2 Cell s Dynamic Transfer Function fter a transient faulty pulse is generated, it propagates toward the primary outputs of the circuit. In the course of its propagation, the pulse s electrical properties, such as width and magnitude, evolve as a result of the low-pass characteristics of the gates it propagates through. Specifically, short pulses tend to be attenuated, while long pulses tend to maintain their original width and magnitude after passing through a combinational logic gate. Keeping with the spirit of static analysis, we capture the dynamic transfer function of each library cell at the library characterization stage. The shape of the output pulse is mainly determined by the shape of the input pulse and the cell s load capacitance. While different input-pin to output paths may be characterized by somewhat different transfer characteristics, this is a secondary effect, which we have for now ignored.. Performing circuit simulation for all possible input pulse waveforms and load capacitances in order to capture the transfer function is expensive. We used a characterization approach based on functional approximation. set of base simulations are performed once, each followed by the sensitivity analysis. The input pulse characteristics, or load capacitance values are varied slightly, the impact on the output pulse is observed. The result is a matrix of partial derivatives for each combination of input pulse and load capacitance. Pulses may re-converge and overlap at a gate in a circuit if multiple paths exist between the particle-striking point (fault-site) and the gate. We do not specifically characterize this case. Our strategy is to capture the firstorder effect of pulse-overlapping. We first treat the overlapped input pulses as logic signals and perform logic operations on the input pulses. fter this step, for instance, very short pulses may result, depending on the polarity and timing alignment of the input pulses. In reality, these very short pulses may never show up due to the logic gate s low-pass filtering characteristic. Therefore, the cell s dynamic transfer function on a single pulse is applied to the pulse just obtained to get a more realistic output pulse. We believe that the error due to this approximation is a secondary effect. 3. Static nalysis of Circuit Soft-Error Susceptibility Instead of explicitly enumerating all the input-vectors or a set of randomly-picked input-vectors, our proposed approach is based on implicit enumeration of the input-

3 Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 vector space. Specifically, the occurrence of error-pulse at a circuit node is represented as a function of the primary inputs symbolically. We use a graph (event-dd) to represent this function. y propagating this fault-encoding function to the primary outputs of the circuits using symbolic manipulations, we are able to analyze the SER statically. Efficient logic operations by symbolic manipulation speeds up analysis for logic masking, while cell-library characterization ensures accurate yet efficient account for electrical masking at run-time. In this work, we make the following functions:. Particle-strike can occur at every gate in the circuit, with any amount of collected charge q. 2. The input-vectors to the circuit primary inputs are stable. The validity of this assumption for SER analysis was proven in [2]. 3. The equilibrium probabilities of the primary inputs are known and independent of each other. The flow of our static SER analysis tool is described in the next paragraph. For each q on each gate, the generated voltage pulse is retrieved from the cell library. We then propagate this pulse statically to the primary outputs of the circuit, where the flip-flops are located. Static error-pulse propagation is discussed in detail in Section 3. and 3.2. The pulse emerging at a primary output (input of a flip-flop) experiences latching-window masking of the flip-flop due to the random nature of the particle-strike time [-4]. Latching probability computation will be discussed in Section 3.3. The total bit error rate (ER) for a flip-flop is then: ER = α (,) q i ( R (,) q i Δ q) + i i q q P P α (,) qi ( R (,) qiδq) where, R Δ q ( RP Δ q ) is the rate of particlestrike on the + (P+) region of gate i, with collected charge in the range of (q, q+δq), and α (,) qi ( α ( qi, ) ) P is a factor attributed to SER reduction due to the masking mechanisms. R Δ q ( RP Δ q ) is determined by the energy spectrum of the particle strike and the effective + (P+) area of the cell, as shown in (2). Our focus in the static analysis is to determine α (,) qi and α P(,) qi. 3. Fault-Event Encoding with DDs The blockage of an error-pulse by a gate because of the values of other controlling input signals is known as logical masking, and without accounting for logical (4) masking, the error rates cannot be accurately estimated [3][4]. In essence, the problem is whether the path from a fault-site to the output is sensitizable under the specific alignment of side inputs to the gates. When formulated in this way, the problem is akin to that of delay fault testing. We represent both the oolean function and the function describing the occurrence of error-pulse by binary decision diagrams (DD) [9]. The binary decision diagram that describes the oolean function at a node is referred to as static-dd. In a static-dd, the logic values of a circuit node for various input-vectors are represented as the logic values in the terminal vertices. To find the logic value for a particular input-vector, we start from the root vertex of the static-dd and follow the branches determined by the input-vector until we reach a terminal vertex. Similarly, we can encode the error-pulse occurring at a node in terms of a DD, which is referred to as event- DD. In this case, the terminal vertices of an event-dd represent both the error-pulses and the original logic values (referred to as static logic values). The example event-dd in Figure is interpreted as: if (= D =), the output is a stable ; otherwise, the output is a falling pulse (the polarity of the pulse is implied by its static logic value). ote that a gate s output may contain multiple pulses due to signal re-convergence. To capture that possibility, we use a vector of pulses in the terminal vertices of the even-dd to describe the events. If none of the terminal vertices of an event-dd contains a pulse, as a result of electrical masking, it effectively degenerates to a static-dd. This generality feature of the event-dd greatly simplifies our algorithm in the sense that to construct a new event-dd, operations can be performed either between two event-dds, or between an event- DD and a static-dd, using existing DD techniques [9, ] with slight modification in the operation rules as described in Section Fault Generation and Propagation with event-dd For a gate to produce an error-pulse after a particle-strike in the + region, its output must originally be, as the particle-strike can only lead to the lowering of the potential. (ecause of the similarity of the two cases, we limit the discussion to the case of the + sensitive region.) For the D gate in Figure, given a strike in the + region, there are two scenarios: ) if = (X=), no pulse is generated and X remains at ; 2)if = (X=), a falling pulse is generated at X, and the pulse shape can be retrieved from the cell-library, given the collected charge q and load capacitance of the cell C load. The state of X can be represented graphically by an event-dd shown in Figure.

4 Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 X Static = rriv_t= t Width = t Peak = V Figure. Fault-encoding with event-dd when a falling pulse is generated at a D gate. Pulse arrival time, width (the duration above the threshold voltage), and peakto-peak value are used to describe the error-pulse. The polarity of the pulse is implied by the original static logic value. When none of the terminal vertices contains an error-pulse, it degenerates to a static-dd, describing the oolean function at that node. Propagating the fault events statically is equivalent to constructing the event-dds for the circuit nodes in the fan-out cone of the fault-site where the particle-strike occurs. The event-dd of a circuit node is simply its static-dd for the circuit nodes outside the fan-out-cone of the fault site, since they do not contain error-pulses. We construct the event-dds starting from the fault-site, and proceed to the primary outputs. To construct the event- DD of a gate s output, the event-dds at its inputs must be constructed first. Constructing the output event-dd for an operation on two input event-dds is a recursive process similar to that of constructing the static-dd, which utilizes the standard DD operations [9]. The operations are different only in how the terminal vertices are processed. Specifically, when the terminal vertex of one operand is reached, we check if the state of the output is able to be determined: if yes, a terminal vertex for the output event-dd is generated; and if no, a non-terminal vertex for the output is generated, and the other operand event-dd is searched one level deeper for more information. Determining the state of the output is through logic operation and table look-up from the library. Logic operation is performed, for example, if one operand has a controlling value and has no pulse, in which case, the output value is determined regardless of the state of another operand (logic masking). Table lookup is performed when the analog characteristics of the output pulse is to be determined (electrical masking). Figure 2 illustrates the event-propagation for a small circuit. For simplicity, only the pulse width (the duration above the threshold voltage) is used to describe the pulse in this example. In Figure 2(d), the error-pulse is logicallymasked when =. The pulse width changes along the propagation. The event-dd at node X is the same as its static-dd because the pulse at node X is too small to reach the threshold voltage. If the pulses contained in an event-dd are all eliminated after passing through a gate, such as the case of Figure 2(e), there is no need to propagate the event-dd further. This is another feature of the approach to achieve computational efficiency Static = rriv_t= 2 Width = 3 M Static = rriv_t= Width = 4 (b)m (d) 4 (a) (c) (e)x Figure 2. Illustration of static pulse propagation in a simple circuit. umbers inside the gates are their propagation delays. The pulses are described by their widths. (b), (c), (d) and (e) are the event-dds of node M,,, and X, respectively. In (d), the error-pulse is logically-masked when =. The pulse width changes along the propagation because of electrical masking. The event-dd at node X is the same as its static-dd because the pulse at node X is too small to reach the threshold voltage. 3.3 Latching Probability Computation fter the event-dd propagates to the primary outputs, the error-latching probability for particle-strike at the faultsite can then be assessed if the signal statistics of the primary inputs are known. First, we find the probability for each terminal vertex to occur by traversing the event-dd. This is similar to finding the probability for a oolean function to be or using DD []. ext, the latching probability of each pulse contained in the terminal vertices is calculated [][ 3]: X

5 Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 pw w PL( pw) = (5) Tc where PL is the latching probability, pw is the pulse width, w is latching-window size of the flip-flop, and T c is the clock period. The error-latching probability of an event- DD is the sum of the PL for all pulses in its terminal vertices weighted by the probabilities for them to occur. This error latching probability is α ( qi, ) ( α ( qi, ) P ) in (4). Using (4), we are able to determine the actual ER for all the flip-flops. 4. Experimental Results The static analysis tool was implemented in C++ on a Dell GX26 workstation. We used an internal cell library based on PTM nm technology []. The tool takes a technology-mapped netlist, equilibrium probability of the primary inputs, clock period, and flux rate of the highenergy neutrons, and gives ER of the flip-flops at the primary outputs. In order to verify the validity of the static fault-event propagation, we devised a Monte-Carlo test based on SPICE simulation. We assume that the equilibrium probabilities are all.5. In the test, randomly generated input-vectors are fed to the circuit primary inputs and for each random input-vector, we simulated the behavior of the output signal for a given particle-strike (modeled as a current pulse in ()) on a given gate (fault-site). ased on the simulation results, we calculated the bit error rate contributed by each individual fault-site. The comparison between the Monte-Carlo simulations and the static analysis is shown in Figure 3. s can be seen, the static analysis achieves reasonable accuracy. We demonstrate the efficiency of our algorithm using three randomly generated circuits. The results are shown in Table I. The runtime of the algorithm on circuits considered so far is low. Experiments to test the algorithm on larger benchmark are currently underway. 5. Conclusions In this paper, we proposed a static soft error analysis tool based on symbolic manipulation and fault-encoding using DD. Initial experimental results show that the proposed approach is accurate and computationally efficient. Figure 3. Contribution to the bit error rate of a test circuit by particle strike on each individual gate. The total ER is the sum of ER contributed by all gates in the circuit.

6 Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Table I. Experimental results for several benchmark circuits. #of inputs ER(FIT) Processing time (s) References [] P. Shivakumar et al, Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic, Proc. DST, pp , 22. [2] H. Cha et al, Gate-Level Simulation Environment for lpha-particle-induced, IEEE Trans. Computers, Vol. 45, pp , 996. [3] K. Mohanram et al, Cost-Effective pproach for Reducing Soft Error Failure Rate in Logic Circuits, Proc. ITC, pp , 23. [4] M. Zhang et al, Soft Error Rate nalysis (SER) Methodology, Proc. ICCD, pp.-8, 24. [5] Q. Zhou et al, ''Transistor Sizing for Radiation Hardening,'' Proc. IRPS, pp. 3-35, 24. [6] Q. Zhou et al, ''Cost-Effective Radiation Hardening Technique for Combinational Logic,'' Proc. ICCD, pp. -6, 24. [7] P. C. Murley et al, Soft-error Monte Carlo modeling program, SEMM, IM J. Res. Develop., Vol. 4, pp. 9-8, 996. [8] P. Hazucha et al. Impact of CMOS Technology Scaling on the tmospheric eutron Soft Error Rate. IEEE Trans. ucl. Sci., Vol. 47, pp , 2. [9] R. ryant, Graph-based algorithms for oolean function manipulation, IEEE Trans. Computers., Vol 35, pp , 986. [] F. ajm, Transition density, a stochastic measure of activity in digital circuits, Proc. DC, pp , 99. [] PTM,

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits Rajeev R. Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester Department of EECS, University of Michigan, Ann

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Feng Wang, Yuan Xie, R. Rajaraman and B. Vaidyanathan The Pennsylvania State University, University Park, PA

More information

Project UPSET: Understanding and Protecting Against Single Event Transients

Project UPSET: Understanding and Protecting Against Single Event Transients Project UPSET: Understanding and Protecting Against Single Event Transients Stevo Bailey stevo.bailey@eecs.berkeley.edu Ben Keller bkeller@eecs.berkeley.edu Garen Der-Khachadourian gdd9@berkeley.edu Abstract

More information

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Department of Computer Sciences Technical Report 2002-19 Premkishore Shivakumar Michael Kistler Stephen W.

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach 5847 1 Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach Natasa Miskov-Zivanov, Member, IEEE, Diana Marculescu, Senior Member, IEEE Abstract Transient faults in

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Premkishore Shivakumar Michael Kistler Stephen W. Keckler Doug Burger Lorenzo Alvisi Department of Computer Sciences University

More information

Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate

Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate Device and Architecture Concurrent Optimization for FGA Transient Soft Error Rate Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles {ylin, lhe@ee.ucla.edu, http://eda.ee.ucla.edu

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Cost-Effective Radiation Hardening Technique for Combinational Logic

Cost-Effective Radiation Hardening Technique for Combinational Logic Cost-Effective Radiation Hardening Technique for Combinational Logic Quming Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 {quming, kmram}@rice.edu

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM Statistical Characterization of Radiation- Induced Pulse Waveforms and Flip-Flop Soft Errors in 14nm Tri-Gate CMOS Using a Back- Sampling Chain (BSC) Technique Saurabh Kumar 1, M. Cho 2, L. Everson 1,

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Appears in the Proceedings of the 2002 International Conference on Dependable Systems and Networks Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Premkishore Shivakumar

More information

Accurate and computer efficient modelling of single event transients in CMOS circuits

Accurate and computer efficient modelling of single event transients in CMOS circuits Accurate and computer efficient modelling of single event transients in CMOS circuits G.I. Wirth, M.G. Vieira and F.G. Lima Kastensmidt Abstract: A new analytical modelling approach to evaluate the impact

More information

1. Introduction. 2. Fault modeling in logic

1. Introduction. 2. Fault modeling in logic Formal Modeling and Reasoning for Reliability Analysis Natasa Miskov-Zivanov 1 and Diana Marculescu 2 University of Pittsburgh, 2 Carnegie Mellon University E-mail: nam66@pitt.edu, dianam@cmu.edu 1 Abstract

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Tunable transient filters for soft error rate reduction in combinational circuits

Tunable transient filters for soft error rate reduction in combinational circuits Tunable transient filters for soft error rate reduction in combinational circuits Quming Zhou, Mihir R. Choudhury, and Kartik Mohanram Department of Electrical and Computer Engineering Rice University,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

6. FUNDAMENTALS OF CHANNEL CODER

6. FUNDAMENTALS OF CHANNEL CODER 82 6. FUNDAMENTALS OF CHANNEL CODER 6.1 INTRODUCTION The digital information can be transmitted over the channel using different signaling schemes. The type of the signal scheme chosen mainly depends on

More information

Soft Error Rate Determination for Nanometer CMOS VLSI Logic

Soft Error Rate Determination for Nanometer CMOS VLSI Logic 4th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 6-8, 8 TA.5 Soft Error Rate Determination for Nanometer CMOS VLSI Logic Fan Wang and Vishwani D. Agrawal

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

The Physics of Single Event Burnout (SEB)

The Physics of Single Event Burnout (SEB) Engineered Excellence A Journal for Process and Device Engineers The Physics of Single Event Burnout (SEB) Introduction Single Event Burnout in a diode, requires a specific set of circumstances to occur,

More information

Cascaded Propagation and Reduction Techniques for Fault Binary Decision Diagram in Single-event Transient Analysis

Cascaded Propagation and Reduction Techniques for Fault Binary Decision Diagram in Single-event Transient Analysis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO., FEBRUARY, 7 ISSN(Print) 598-657 https://doi.org/.557/jsts.7.7..65 ISSN(Online) -4866 Cascaded Propagation and Reduction Techniques for Fault

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Module-1: Logic Families Characteristics and Types. Table of Content

Module-1: Logic Families Characteristics and Types. Table of Content 1 Module-1: Logic Families Characteristics and Types Table of Content 1.1 Introduction 1.2 Logic families 1.3 Positive and Negative logic 1.4 Types of logic families 1.5 Characteristics of logic families

More information

An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design

An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design Julian Pontes and Ney Calazans Faculty of Informatics - FACIN, - PUCRS Porto Alegre, RS, Brazil {julian.pontes, ney.calazans@pucrs.br

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University Power Estimation Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Contents Embedded Low-Power ELPL Laboratory SPICE power analysis Power estimation basics Signal probability

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

Introduction. Timing Verification

Introduction. Timing Verification Timing Verification Sungho Kang Yonsei University YONSEI UNIVERSITY Outline Introduction Timing Simulation Static Timing Verification PITA Conclusion 2 1 Introduction Introduction Variations in component

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

Coordinated Science Laboratory 1308 W est Main Street, Urbana, IL 61801

Coordinated Science Laboratory 1308 W est Main Street, Urbana, IL 61801 July 1997 University o f Illinois at Urbana-Champaign UILU-ENG-97-2219 D A C 59 Prediction of Activity Factor and Signal Probability in Domino CMOS Circuits Subodh Gupta and Farid N. Najm Coordinated Science

More information

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis 888 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 7, JULY 2001 Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

More information

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA Balkaran S. Gill, Chris Papachristou, and Francis G. Wolff Department of Electrical Engineering and Computer Science Case Western

More information

FAULT DE-INTERLEAVING FOR RELIABILITY IN HIGH-SPEED CIRCUITS. Kevin Dick. Thesis. Submitted to the Faculty of the

FAULT DE-INTERLEAVING FOR RELIABILITY IN HIGH-SPEED CIRCUITS. Kevin Dick. Thesis. Submitted to the Faculty of the FAULT DE-INTERLEAVING FOR RELIABILITY IN HIGH-SPEED CIRCUITS By Kevin Dick Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment of the requirements for

More information

WHEN high-energy neutrons (present in terrestrial cosmic

WHEN high-energy neutrons (present in terrestrial cosmic IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VO. 25, NO. 1, JANUARY 2006 155 Gate Sizing to Radiation Harden Combinational ogic Quming Zhou, Student Member, IEEE, and

More information

Derivation of an Asynchronous Counter

Derivation of an Asynchronous Counter Derivation of an Asynchronous Counter with 105ps/bit load time and early completion in 90nm CMOS Adam Megacz July 17, 2009 Abstract This draft memo describes the process by which I methodically derived

More information

Implementation Of Radix-10 Matrix Code Using High Speed Adder For Error Correction

Implementation Of Radix-10 Matrix Code Using High Speed Adder For Error Correction Implementation Of Radix-10 Matrix Code Using High Speed For Error Correction Grace Abraham 1, Nimmy M Philip 2, Deepa N R 3 1 M.Tech Student (VLSI & ES), Dept. Of ECE, FISAT, MG University, Kerala, India

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 10 Lecture Title:

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Low Cost NBTI Degradation Detection & Masking Approaches

Low Cost NBTI Degradation Detection & Masking Approaches IEEE TRANSACTIONS ON COMPUTERS, MANUSCRIPT ID 1 Low Cost NBTI Degradation Detection & Masking Approaches Martin Omaña, Daniele Rossi, Nicolò Bosio, Cecilia Metra Abstract Performance degradation of integrated

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS International Conference on Mathematics, Modelling, Simulation and Algorithms (MMSA 8) The Influence of the Distance between the Strike Location and the Drain on 9nm Dual-Well Bulk CMOS Qiqi Wen and Wanting

More information

Game Theory and Randomized Algorithms

Game Theory and Randomized Algorithms Game Theory and Randomized Algorithms Guy Aridor Game theory is a set of tools that allow us to understand how decisionmakers interact with each other. It has practical applications in economics, international

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

of the 1989 International Conference on Systolic Arrays, Killarney, Ireland Architectures using four state coding, a data driven technique for

of the 1989 International Conference on Systolic Arrays, Killarney, Ireland Architectures using four state coding, a data driven technique for - Proceedings of the 1989 International Conference on Systolic Arrays, Killarney, Ireland EXPLOITING THE INHERENT FAULT ARRAYS. TOLERANCE OF ASYNCHRONOUS Rodney Me GoodmAn Anthony McAuley Kathleen Kramer

More information

Proceedings of the International Conference on Computer Design, pp , October 1993

Proceedings of the International Conference on Computer Design, pp , October 1993 Proceedings of the International Conference on Computer Design, pp. 5854, October 99 A LogicLevel Model for Particle Hits in CMOS Circuits Hungse Cha and Janak H. Patel Center for Reliable and HighPerformance

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

AS technology scales, transistors are getting smaller and

AS technology scales, transistors are getting smaller and 202 IEEE TRANSACTIONS ON DEPENDABLE AND SECURE COMPUTING, VOL. 6, NO. 3, JULY-SEPTEMBER 2009 Modeling Soft Errors at the Device and Logic Levels for Combinational Circuits Rajaraman Ramanarayanan, Member,

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

Circuit-level Design Approaches for Radiation-hard Digital Electronics

Circuit-level Design Approaches for Radiation-hard Digital Electronics Circuit-level Design Approaches for Radiation-hard Digital Electronics Rajesh Garg Nikhil Jayakumar Sunil P Khatri Gwan Choi (rajeshgarg at tamu.edu) (nikhil at ece.tamu.edu) (sunilkhatri at tamu.edu)

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

FTL Based Carry Look ahead Adder Design Using Floating Gates

FTL Based Carry Look ahead Adder Design Using Floating Gates 0 International onference on ircuits, System and Simulation IPSIT vol.7 (0) (0) IASIT Press, Singapore FTL Based arry Look ahead Adder Design Using Floating Gates P.H.S.T.Murthy, K.haitanya, Malleswara

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information