Proceedings of the International Conference on Computer Design, pp , October 1993

Size: px
Start display at page:

Download "Proceedings of the International Conference on Computer Design, pp , October 1993"

Transcription

1 Proceedings of the International Conference on Computer Design, pp. 5854, October 99 A LogicLevel Model for Particle Hits in CMOS Circuits Hungse Cha and Janak H. Patel Center for Reliable and HighPerformance Computing University of Illinois at UrbanaChampaign Urbana, IL 687 Abstract Systems designed for reliability must be validated through simulations. However, traditional SPICE like simulators or even mixedmode simulators are too slow for the task of simulating the eects of particle hits on relatively large circuits. Gatelevel simulators oer tremendous speedup over these electrical level simulators, but they are only as good as the which captures the particle eect at the logic level. The goal of this research is to develop a computationally ecient which captures the behavior of the particle at the logic level. This can then be used in a gatelevel timing simulator to propagate the particle eects to the latches and the outputs of the circuit under simulation. We have developed a closed form solution to approximate the logic pulse waveform resulting from particle hits. As is presented in the paper, the tracks the data from SPICE simulations remarkably well. Introduction Computers are increasingly being used in critical applications in which reliability is of the utmost importance. Due to recent advances in VLSI technology, device dimensions have shrunk to the submicron region, and as a consequence, circuits have become more susceptible to the particles. The circuits used in critical applications in space must be designed to tolerate the particle hits. These circuits should undergo a validation phase where the particle hits are simulated. Since these hits produce electrical eects on the circuit, electricallevel simulators have to be used to accurately propagate the particle eect. However, electricallevel simulators such as SPICE [] are too slow on even a moderatesized circuit. Mixedmode simulators have been developed and used to speed up the simulation time, but even these are not fast enough [, ]. Recently, gatelevel simulators have been employed to drastically improve the simulation time [4, 5]. However, gatelevel simulators are only This research was supported by Joint Electronic Service Program Contract N4J97. as good as the which maps the electricallevel particle eect into logic level eect. The goal of this research is to develop a computationally ecient to capture the behavior of the particle phenomenon at the logic level. This can then be used in a gatelevel timing simulator to propagate the particle eects to the latches and the outputs of the circuit under simulation. We have developed a closed form solution to approximate the logic pulse waveform resulting from particle hits. Each of the steps leading to the nal solution is presented in this paper. In Section, we describe the charge collection phenomenon caused by particles. Then we give the for the transient voltage pulse width at the injection node in section, followed by the of the propagation delay of an inverter in the next section. In section 5, the s developed in previous sections are put together to the logic eect of the particle at the output of the succeeding gate. Finally, we conclude in section 6 with a discussion on the applicability of this. The immediate eects of particles The phenomenon of particles striking MOS devices has been extensively studied by researchers [6, 7]. It may be ed by a time varying doubleexponential current pulse [7] I(t) = I o (e?t=? e?t= ); () where is the collection time constant of the junction and is the time constant for initially establishing the ion track. The time constants for the exponentials depend on several process dependent factors, and in this work, the time constants given in [8] are used: = :64? sec and = 5:? sec. There are four possible cases of charge injection scenarios for CMOS circuits as shown in Figure where the resistors represent conducting transistors, the rectangles represent the drain regions of transistors, and the direction of arrows inside the current sources correspond to the direction of the current ow. For cases I and II, the voltage at the p node will go up, and for

2 case I p n n p case III p n case II n p case IV Pulse width (nsec) pC 8pC 7pC 6pC 5pC 4pC Number of fanout inverters Figure : Pulse width at the injection node Figure : Four possible scenarios for charge injection due to particles R (a) C Figure : Equivalent circuit for charge injection cases III and IV, the voltage at the n node will go down. Cases II and IV will not aect the logic state of the circuit because the node is already at the logic value toward which the injected charge will drive the node. However, cases I and III may aect the logic value of the node, which in turn may cause incorrect operation of the circuit. In this paper, we will only consider inverters since other gates can be mapped to equivalent inverters. At the time of the fault injection, the aected inverter may be switching, or it may be at a stable high or low. Since recent work indicates that transient faults injected before the circuit has settled for the clock cycle rarely manifest themselves as latched errors [5], we will not consider the case of transitioning inverter at the time of fault injection. Furthermore, of the two possible remaining cases as shown in Figure, we will only consider (a) since the case shown in (b) can be analyzed in a similar manner. R (b) C The pulse width at the injection node The voltage waveform resulting from an particle depends on three quantities: the injection charge, the strength of the conducting transistor and the total capacitance at the injection node. If we consider the PMOS transistor as a linear resistor R, an analytical solution for the output voltage as a function of time can be obtained: V o (t) = RI o e?t=? e?t=rc? RC=? e?t=? e?t=rc? RC= V DD : () Upon examining equation, we nd that V o (t) is a function of two quantities, RI o and RC. This observation simplies our of the voltage pulse waveform because now we may describe it as a function of two quantities instead of three. For the development of the s in this paper, we have used MOS level parameters from Orbit, a fabrication company accessible through MOSIS. The inverter design used is from the standard cell library from Mississippi State University which is distributed as part of the Octtools [9] set from University of California, Berkeley. SPICE simulations have been run on the invf inverter with various injection charges and various output capacitances. The logic threshold of.5 V has been used to obtain logic waveforms from the voltage waveforms. The pulse width as a function of the injection charge and the number of fanout inverters, which represent the capacitance at the injection node, is shown in Figure. We can immediately see two distinct regions of behavior. For smaller capacitances, the pulse width increases with

3 V DD V DD / T IS T PHL input output Figure 4: Denition of propagation delay of inverters increasing capacitance. This is due to the slower RC time constant for recharging the injection node. For large capacitances, the injected charge is not enough to drive the voltage to the opposite power rail, resulting in smaller pulse widths. The pulse width can be ed by two linear equations of the form P W = A L W I o B L W C out Const; () where P W stands for the pulse width at the injection node, and A; B, and Const are obtained from linear regression analysis of SPICE data. The P W computed using the above equation is superimposed on the SPICE data in Figure. As can be seen, the and the SPICE data agree quite well. 4 Propagation delay of inverters In the previous section, we have developed a simple for the pulse width at the injection node, but it does not give us enough information about the shape of the pulse, which is needed if we are to propagate the pulse to the output of a succeeding gate. Before we can proceeed, however, we rst need to investigate the propagation delay of inverters. The propagation delay is dened as the time it takes for the output to reach V DD = minus the time it takes for the input to reach V DD =. The falling delay P HL is shown in Figure 4, and the rising delay P LH is similarly dened. The gure also shows T IS, which is the time it takes for the ramping input to fully change. SPICE simulations of invf with various values for T IS is shown in Figure 5. As can be seen in the gure, the propagation delay is a nonlinear function of T IS and the output capacitance. Therefore, a simple curve tting technique is inappropriate and we need a deeper understanding of the propagation delay as a function of these parameters. Using the simple square law current equations for the MOS transistors, a closed form solution for the propagation delay for the step input change can be found []: P HL = D L W C out; (4) Propagation delay (nsec) Tis = 8 ns Tis = 6 ns Tis = 4 ns Tis = ns Tis = ns Number of fanout inverters Figure 5: Propagation delay of inverter as a function of T IS and output capacitance where D is a process dependent parameter. Although this solution has been obtained using the square law current equations, it can be applied in general with the parameter D extracted from SPICE simulations followed by linear regression analysis. The above equation is valid for the step input change, but as can be seen in Figure 5, the propagation delay is also a function of the input slew rate. Researchers have developed various s for P HL as a function of ramping inputs [,,, 4]. We have based our on Shoji's work [] since it is very simple, making it suitable for inclusion into a gatelevel simulator. Solving for the propagation delay using very simple linear current equations for MOS transistors, he obtains 8 < P HL = P HL : p? if < if > ; (5) where is the input slew rate, is a factor indicating the relative strengths of the NMOS and the PMOS transistors, P HL is the P HL for the step input change, and is the slew rate which marks the boundary between the dierent regions of behavior. We have modied and as follows to t the data from SPICE better: and = = k N (W=L) N G k P (W=L) P k N (W=L)N k P (W=L)P E F G (6) V DD P HL ; (7)

4 first level gate second level gate third level gate Figure 6: Denition of gate levels where E, F, and G are parameters to be extracted from SPICE simulations followed by linear regression analysis. The parameters E and F are needed to provide a better matching in the region >, and the parameter G is needed in the region < for the same reason. Furthermore, G is actually dependent on the relative strengths of NMOS and PMOS transistors, and it is in turn ed by a linear function whose parameters are obtained from SPICE data. The computed values of P HL from the equations above are superimposed on the data from SPICE simulations in Figure 5, and, as can be seen, they match very well. 5 The eect of charge injection on the output of a fanout inverter The goal of this research, as is mentioned above, is to develop a to compute the logic level eect due to an particle hit. Researchers have found that at least three gate levels as shown in Figure 6 are needed in SPICE like simulations until the electrical eects become stable enough to be treated as logic signals [, ]. However, most of the time the electrical eects become stable enough to be treated as logic signals after only two gate levels and the additional eort involved in ing the third gate level is not justied. In order to nd the pulse width at the output of the second level gate, we need information about the shape of the pulse at the input. We'll the shape as piecewise linear pulse as shown in Figure 7. The pulse is ed as a step change to the opposite rail followed by a ramp of constant slope. The ramp is characterized by T IS = P LH and it starts at time P W? P LH to satisfy the pulse width found in equation. Now we are ready to nd the pulse width at the output of the second level inverter. According to our of the voltage pulse waveform at the injection node, the second level inverter will see two transitions, one a step and the other a ramp. We can immediately write the following equation to describe the pulse width of the second inverter: P W = P HL? P LH P W : (8) Injection node voltage Time (nsec) SPICE Figure 7: Model of the pulse waveform at the injection node V DD V DD / V Ta Tb input output time Figure 8: Slow transitioning of the second inverter with respect to the input pulse The subscript refers to values at the injection node while the subscript refers to values at the output of the second level inverter. Equation 8 is valid provided that the output of the second level inverter has had enough time to rise to V DD before it is pulled down to ground and that the injection node voltage dips down to V before rising back up. If not, the equation for P W becomes more complicated. We'll consider each of these cases in turn. Figure 8 illustrates the rst case. While the output of the second inverter is still transitioning, the input has risen suciently high to start driving the output to ground. Dene T a and T b as seen in the gure, and assume that the output rises in a linear fashion until the input has risen to V DD = at which time the output starts falling, also in a linear fashion. Then we have, and T b = P HL V DD T a = P W? P LH (9) VDD P LH P W? V DD : () 4

5 Putting equations 8, 9, and together, we have 8 if P LH > P W P HL >< P W VDD V DD P LH P W? VDD P W =? P HL if P LH < P W < P LH P HL? P LH P W >: if P LH < P W () Finally, we should consider the case when the input voltage does not fall all the way down to V. In this case, the PMOS transistor is not fully turned on, and the NMOS transistor may be conducting if the input voltage is high enough. We'll ignore the NMOS transistor since most of the time it does not provide a signicant current. The propagation delay we use must be modied according to how much the input voltage has dipped with respect to some reference value. Assuming that the voltage waveform is triangular in shape in the case we are considering, we can nd the lowest point of the input voltage waveform for the P W under consideration as well the one for a reference P W, and multiply our original value of propagation delay by some factor. The reference P W has been chosen to be the maximum obtained by varying the capacitances at the injection node while keeping the other parameters the same. Then we have and V inmin = V DD V inmin j P W=P Wmax = V DD? V DD T IS P W ()? V DDP W max T IS j P W=P Wmax : () The maximum value of P W as well as T IS j P W=P Wmax can be found directly from equation. Then, we have P LH = P LH factor(v inmin ) factor(v inmin j P W=P Wmax ) : (4) where (V x jv T P j) factor(v x ) = V DD? V x? jv T P j V DD? V x? jv T P j 4(VDD? V x? jv T P j) ln? : (5) V DD The factor(v x ) is taken from an analytical solution for the propagation delay where the input undergoes a step change from V DD to V x. The new propagation delay P LH is used in place of P LH for the Pulse width (nsec) pc 8 pc 7 pc 6 pc 5 pc 4 pc Number of fanout inverters at the injection node Figure 9: Pulse widths at the output of the second level inverter computation of P LH in the case where the injection node capacitance is larger than the one which gives maximum P W. Figure 9 shows P W from SPICE simulations as a function of injected charge and capacitance at the injection node. The capacitance at the output of the second level gate is equal to the gate capacitance of one inverter in this simulation run. The gure also shows the computed values of P W from the which incorporates all of the cases discussed above. Although there are some discrepancies between the two sets of data, we see that the tracks the SPICE data remarkably well. Since we are concerned about the logic pulse waveform, we also need information about the delay from the injection time of the particle to the rst transition of the logic pulse at the output of the second level inverter. This is easily obtained since it is just P LH. 6 Concluding remarks In this paper, a closed form solution for approximating the logic level waveforms due to particles has been presented. The takes into account the strengths of the pullup and pulldown transistors, the amount of charge injection, and the capacitive loading at the injection node and at the output of the second level inverter. Since the has its roots in analytical equations, it applies to a wide range of transistor sizes and fabrication processes. The parameter extraction for the target process is quick and easy since the SPICE simulations are performed only on a few inverters, and furthermore, it has to be done only once for the specic process. Although we have treated only inverters in this work, this is not overly 5

6 restrictive since in most cases the other gates can be mapped to equivalent inverters. Due to its simplicity, the presented in this paper is suitable for incorporation into a gatelevel timing simulator for the accurate simulation of the logic eects of particle hits on CMOS VLSI circuits. References [] T. L. Quarles, \SPICE version C users guide," Memorandum no. UCB/ERL M89/46, Electron. Res. Lab., Univ. of California, Berkeley, Apr [] G. Choi, R. K. Iyer, R. Saleh, and V. Carreno, \A fault behavior for an avionic microprocessor: a case study," in Int. Working Conf. Dependable Computing for Critical Applications, Aug. 989, pp [] F. L. Yang and R. A. Saleh, \Simulation and analysis of transient faults in digital circuits," IEEE J. Solid State Circuits, vol. 7, no., pp. 5864, Mar. 99. [4] E. W. Czeck and D. P. Siewiorek, \Eects of transient gatelevel faults on program behavior," in Digest, th Int. Symp. FaultTolerant Comput., June 99, pp. 64. [5] H. Cha, E. M. Rudnick, G. S. Choi, J. H. Patel, and R. K. Iyer, \A fast and accurate gatelevel transient fault simulation environment," to appear in Digest, rd Int. Symp. FaultTolerant Comput., June 99. [6] T. C. May and M. H. Woods, \Alphaparticleinduced soft errors in dynamic memories," IEEE Trans. Electron Devices, vol. ED6, no., pp. 9, Jan [7] G. C. Messenger, \Collection of charge on junction nodes from ion tracks," IEEE Trans. Nucl. Sci., vol. NS9, no. 6, pp. 4, Dec. 98. [8] V. A. Carreno, G. Choi, and R. K. Iyer, \Analogdigital simulation of transientinduced logic errors and upset susceptibility of an advanced control system," NASA Technical Memorandum 44, Nov. 99. [9] OCTTOOLS5. Part : User Guide, A. Casotto: editor, Electron. Res. Lab., Univ. of California, Berkeley, Oct. 99. [] J. P. Uyemura, Fundamentals of MOS Digital Integrated Circuits, AddisonWesley, 988, ch. 4. [] D. Overhauser, I. N. Hajj, and V. B. Rao, \Switchlevel timing analysis of VLSI MOS circuits including parasitics," Proc. IEEE Int. Symp. on Circuits and Systems, May 986, pp [] N. Hedenstierna and K. O. Jeppson, \CMOS circuit speed and buer optimization," IEEE Trans. on Comp.Aided Design, vol. CAD6, no., pp. 78, Mar [] M. Shoji, CMOS Digital Circuit Technology, Prentice Hall, Englewood Clis, NJ, 988, ch.. [4] S. R. Vemuru and A. R. Thorbjornsen, \A for delay evaluation of a CMOS inverter," Proc. IEEE Int. Symp. on Circuits and Systems, May 99, pp

ANALYTICAL ESTIMATION OF PROPAGATION DELAY AND SHORT-CIRCUIT POWER DISSIPATION IN CMOS GATES

ANALYTICAL ESTIMATION OF PROPAGATION DELAY AND SHORT-CIRCUIT POWER DISSIPATION IN CMOS GATES INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS Int. J. Circ. ¹heor. Appl., 27, 375}392 (1999) ANALYTICAL ESTIMATION OF PROPAGATION DELAY AND SHORT-CIRCUIT POWER DISSIPATION IN CMOS GATES S. NIKOLAIDIS

More information

Accurate and computer efficient modelling of single event transients in CMOS circuits

Accurate and computer efficient modelling of single event transients in CMOS circuits Accurate and computer efficient modelling of single event transients in CMOS circuits G.I. Wirth, M.G. Vieira and F.G. Lima Kastensmidt Abstract: A new analytical modelling approach to evaluate the impact

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

EFFICIENT design of digital integrated circuits requires

EFFICIENT design of digital integrated circuits requires IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 46, NO. 10, OCTOBER 1999 1191 Modeling the Transistor Chain Operation in CMOS Gates for Short Channel Devices Spiridon

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Zhan Chen and Israel Koren ABSTRACT. proposed algorithm has been implemented in the framework of the Berkeley logic optimization package SIS.

Zhan Chen and Israel Koren ABSTRACT. proposed algorithm has been implemented in the framework of the Berkeley logic optimization package SIS. Technology Mapping for Hot-Carrier Reliability Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003 ABSTRACT As semiconductor

More information

An Analytical Model for Current, Delay, and Power Analysis of Submicron CMOS Logic Circuits

An Analytical Model for Current, Delay, and Power Analysis of Submicron CMOS Logic Circuits IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 10, OCTOBER 2000 999 An Analytical Model for Current, Delay, and Power Analysis of Submicron CMOS Logic

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS. Richard Burch, Farid Najm. Ping Yang, and Dale Hocevar ABSTRACT

PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS. Richard Burch, Farid Najm. Ping Yang, and Dale Hocevar ABSTRACT PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS by Richard Burch, Farid Najm Ping Yang, and Dale Hocevar ABSTRACT Accurate and ecient expected current estimation is required

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 299 Trading Off Transient Fault Tolerance and Power Consumption in Deep Submicron (DSM) VLSI Circuits Atul Maheshwari,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network.

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. D. DESCHACHT, C. DABRIN Laboratoire d Informatique, de Robotique et de Microélectronique UMR CNRS 998 Université

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Output Waveform Evaluation of Basic Pass Transistor Structure*

Output Waveform Evaluation of Basic Pass Transistor Structure* Output Waveform Evaluation of Basic Pass Transistor Structure* S. Nikolaidis, H. Pournara, and A. Chatzigeorgiou Department of Physics, Aristotle University of Thessaloniki Department of Applied Informatics,

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

A Fine Grain Configurable Logic Block

A Fine Grain Configurable Logic Block VLSI DESIGN 2001, Vol. 12, No. 4, pp. 527-536 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses in Zhang and Michael Orshansky ECE Department,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

IT HAS become well accepted that interconnect delay

IT HAS become well accepted that interconnect delay 442 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 4, DECEMBER 1999 Figures of Merit to Characterize the Importance of On-Chip Inductance Yehea I. Ismail, Eby G. Friedman,

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to Testing scheme for IC's clocks ichele Favalli and Cecilia etra DEIS - University of Bologna Viale Risorgimento, 2 40136 Bologna, Italy Abstract This paper proposes a testing scheme to detect abnormal skews

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

8. Combinational MOS Logic Circuits

8. Combinational MOS Logic Circuits 8. Combinational MOS Introduction Combinational logic circuits, or gates, witch perform Boolean operations on multiple input variables and determine the output as Boolean functions of the inputs, are the

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Design Considerations for CMOS Digital Circuits with Improved Hot-Carrier Reliability

Design Considerations for CMOS Digital Circuits with Improved Hot-Carrier Reliability 1014 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 31, NO. 7, JULY 1996 Design Considerations for CMOS Digital Circuits with Improved Hot-Carrier Reliability Yusuf Leblebici, Member, IEEE Abstract The hot-carrier

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Atila Alvandpour, Per Larsson-Edefors, and Christer Svensson Div of Electronic Devices, Dept of Physics, Linköping

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

Improving Analytical Delay Modeling for CMOS Inverters

Improving Analytical Delay Modeling for CMOS Inverters Improving Analytical Delay Modeling for CMOS Inverters Felipe S. Marranghello, André I. Reis, and Renato P. Ribas PGMicro, Federal University of Rio Grande do Sul, Porto Alegre, Brazil e-mail: fsmarranghello@inf.ufrgs.br

More information

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Feng Wang, Yuan Xie, R. Rajaraman and B. Vaidyanathan The Pennsylvania State University, University Park, PA

More information

DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school

DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic

More information

DIGITAL ELECTRONICS. Digital Electronics - A2 28/04/ DDC Storey 1. Politecnico di Torino - ICT school. A2: logic circuits parameters

DIGITAL ELECTRONICS. Digital Electronics - A2 28/04/ DDC Storey 1. Politecnico di Torino - ICT school. A2: logic circuits parameters Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Sanjay Kumar Wadhwa 1, G.K. Siddhartha 2, Anand Gaurav 3 Freescale Semiconductor India Pvt. Ltd. 1 sanjay.wadhwa@freescale.com,

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Delay and Power Expressions for a CMOS Inverter Driving a Resistive-Capacitive Load

Delay and Power Expressions for a CMOS Inverter Driving a Resistive-Capacitive Load Analog Integrated Circuits and Signal Processing, 1, 9 39 (1997) c 1997 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. Delay and Power Expressions for a CMOS Inverter Driving a Resistive-Capacitive

More information

IT has been extensively pointed out that with shrinking

IT has been extensively pointed out that with shrinking IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 557 A Modeling Technique for CMOS Gates Alexander Chatzigeorgiou, Student Member, IEEE, Spiridon

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

INF4420 Switched capacitor circuits Outline

INF4420 Switched capacitor circuits Outline INF4420 Switched capacitor circuits Spring 2012 1 / 54 Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators 2 / 54 Introduction Discrete time analog

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

Pattern Independent Maximum Current Estimation in Power

Pattern Independent Maximum Current Estimation in Power Pattern Independent Maximum Current Estimation in Power and Ground Buses of CMOS VLSI Circuits: Algorithms, Signal Correlations and Their Resolution Harish Kriplani, Farid Najm and Ibrahim Hajj AT&T Bell

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

VG1P I MlP EN 20 MZPHFVGZP. mm mm m nuunnyyo I]! [(1816 [[Lllllllllllllllllll. VG1N MIN \gp L2 M2N [ vg2n V1.. V2. 5,508,639 Apr.

VG1P I MlP EN 20 MZPHFVGZP. mm mm m nuunnyyo I]! [(1816 [[Lllllllllllllllllll. VG1N MIN \gp L2 M2N [ vg2n V1.. V2. 5,508,639 Apr. United States Patent [191 Fattaruso mm mm m nuunnyyo I]! [(1816 [[Lllllllllllllllllll [11] Patent Number: [45] Date of Patent: Apr. 16, 1996 [54] CMOS CLOCK DRIVERS WITH INDUCTIVE COUPLING [75] Inventor:

More information

Lecture 11 Circuits numériques (I) L'inverseur

Lecture 11 Circuits numériques (I) L'inverseur Lecture 11 Circuits numériques (I) L'inverseur Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up 6.12 Spring 24 Lecture 11 1 1. Introduction to digital circuits:

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen INF4420 Switched capacitor circuits Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Analysis and design of a low voltage low power lector inverter based double tail comparator

Analysis and design of a low voltage low power lector inverter based double tail comparator Analysis and design of a low voltage low power lector inverter based double tail comparator Surendra kumar 1, Vimal agarwal 2 Mtech scholar 1, Associate professor 2 1,2 Apex Institute Of Engineering &

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

EMT 251 Introduction to IC Design. Combinational Logic Design Part IV (Design Considerations)

EMT 251 Introduction to IC Design. Combinational Logic Design Part IV (Design Considerations) EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Combinational Logic Design Part IV (Design Considerations) Review : CMOS Inverter V DD tphl = f(rn, CL) V out

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information