Introduction. Timing Verification

Size: px
Start display at page:

Download "Introduction. Timing Verification"

Transcription

1 Timing Verification Sungho Kang Yonsei University YONSEI UNIVERSITY Outline Introduction Timing Simulation Static Timing Verification PITA Conclusion 2 1

2 Introduction Introduction Variations in component delays may be correlated to a certain extent due to global fabrication process fluctuations and similar fabrication process effects on neighboring devices Decreasing minimum feature sizes, increasing design complexity, and faster circuit operation are resulting in the following: gate outputs seeing significant resistive effects of the interconnect load, the need for long interconnect to be modeled as distributed RC elements, and significant capacitive coupling effects across neighboring signal lines This is leading to interconnect delays being a significant part of the chip delay, and in many cases even dominating the delay through the switching elements To ensure correct temporal operation of such circuits, gate-level pre- and post-fabrication timing verification methodologies need to be able to handle the above circuit characteristics 3 Timing Verification Introduction The objective of timing verification of a combinational circuit is either to ensure that the circuit satisfies some given timing constraints at the circuit outputs, or to determine the maximum delay of the circuit under some given component delay model It is also of interest to determine the critical paths in the circuit i.e. those paths that determine the maximum circuit delay This critical path information can be used to decrease the delay of the circuit, which might be desirable when the circuit performance is being optimized, and is necessary if the circuit violates some given timing constraint at one or more of its outputs 4 2

3 Two Strategies Introduction Static Timing Verification Obtain bounds on the maximum delay of a circuit by analyzing in it an input pattern independent manner Dynamic Timing Verification Timing Simulation Used to arrive at an estimate for the maximum delay by repeated simulation of the circuit for different input patterns 5 Timing Simulation Timing Simulation Traditional circuit simulators like SPICE use implicit integration techniques, complex device models and computationally expensive nonlinear equation solvers As a result, the cost of verifying the timing behavior of a large digital circuit with a typical circuit simulator becomes prohibitively high To address this problem, a variety of timing simulators have been developed to exploit the nature of digital MOS circuits and/or use more efficient modeling techniques to be able to handle large circuits The result is a set of tools which compromise on analoglevel accuracy to gain on speed of simulation while still maintaining a level of accuracy sufficient for timing verification of digital circuits 6 3

4 SPECS Timing Simulation A significant speedup in evaluating the timing response can be achieved by using explicit approximation, such as Forward Euler formula This is an approach taken in SPECS Instead of using analytical functions to model electronic devices like in conventional circuit simulation methods, SPECS represents devices by piecewise constant table models of I-V characteristics The event driven simulation process itself makes no further approximations This is very useful in the verification of timing of critical paths in a digital circuit 7 MOTIS Timing Simulation In MOTIS, an internal hierarchy is created by grouping the circuit into superblocks A superblock has multiple inputs and outputs, and is unidirectional externally, but can be bidirectional internally In the timing simulation mode, simplified circuit simulation techniques with less accurate transistor models are used to evaluate the signals within the superblock Logic simulation techniques are used to propagate the values produced This kind of simulation is much faster than conventional circuit simulation 8 4

5 LSIM Timing Simulation Another class of simulation like LSIM combine switch level simulation with simple RC delay models for verifying the timing behavior of MOS circuits LSIM partitions the circuit into channel-connected components, each of which is a graph The vertices of the graph are the circuit nodes and an ONtransistor between two nodes (source and drain) constitutes an edge between them A signal at a node has a logic value and a strength associated with it The problem of finding the steady state response of such a circuit is reduced to the single source shortest path problem in graph theory Using these, results in a speedup of about two orders of magnitude over other simulation methods 9 Timing Simulation Timing Simulation Timing simulation can be done at an even higher level of abstraction using HDL The simulators implemented for these languages allow mixed level descriptions The high level of abstraction permits them to perform fast simulation but the delay models used are very simplistic 10 5

6 STAT Timing Simulation Dynamic simulation level choosing is used in STAT, which is an event driven multi-level timing simulator employing hierarchical timing model A set of model validity conditions(mvcs) are used to dynamically select the level at which a portion of the circuit to be simulated The event queue generated in a run of the circuit with certain delay values for the component delays is reused in a different run of the same circuit with slightly perturbed delays This is particularly important when the verification needs to take into account the variations in delays caused due to perturbations in the chip manufacturing process 11 AWE and ACES Timing Simulation The Asymptotic Waveform Evalation(AWE) technique enables efficient macromodeling of complex interconnect networks However there is a need to merge these AWE macromodels with the transistor level description of the gates to verify signal propagation through the circuit Adaptively Controlled Explicit Simulation(ACES) has been developed to perform timing verification of digital ICs and can handle MOS, bipolar and BiCMOS ACES uses an adaptively controlled explicit integration approximation to compute the circuit response that overcomes the stability problems in previous techniques Simulation accuracy in ACES can be controlled by varying the accuracy of the integration approximation or the piecewise linear device models 12 6

7 TETA Timing Simulation The dominant effects of interconnect delays on the timing performance have been the motivation of a new interconnect-centric approach to timing simulation, called TETA Complex interconnect networks are modeled by reduced order N port macromodels To avoid inverting the interconnect time-domain N-port matrix, TETA applies successive chord iterations for the nonlinear devices and a novel compaction scheme for transistor clusters This approach requires only the stability of the N-port interconnect model, thereby avoiding the problem of nacromodel passivity To increase the simulation efficiency even further, the successive chord iteration method permits table look-up models for MOS transistors 13 One of the earliest tools for timing analysis of a circuit is PERT, which calculated the maximum delay of a logic circuit as the delay of the topologically longest path However, if the functionality of the logic gates is taken into consideration, it is observed that some paths in the circuit are false, that is, they are not sensitizable for any combination of primary inputs This means that the maximum delay of the circuit can be smaller than the delay of the topologically longest path 14 7

8 Assume unit gate delays and zero wire delays. Consider the path a-g1-g2-g3-y that has a delay of 3units. For a rising or falling transition at time zero to propagate form a through this path, the side input at the AND gate g2 must be at a logic 1 at the time this transition propagates through the gate, implying that primary input b should be 1 at time t=1 unit, and also, the side input at the OR gate g3 must be at logic 0 at t=2 units which in turn implies that primary input b be 0 at t=1 unit. Since primary input b is required to be both a 1 and a 0 at t=1 unit, a transition cannot propagate through the path a-g1-g2- g3-y. Hence this path is not sensitizable. a g1 g2 b g3 y Sensitization example g4 15 Single Stepping Transition Mode Assumptions must be made about the range and arrival of PI vectors to the combinational circuit A single stepping transition mode of operation assumption is one where all circuit nodes are assumed to have stabilized to their final values under a previously applied input vector V0 before a new vector V1 is applied This reflects the assumption that the combinational circuit of interest is embedded in a FF based synchronous sequential system The combinational circuit delay found under this assumption can be used as a valid clocking period if this delay is greater than half of the delay if the longest topological path in the combinational circuit 16 8

9 Floating Mode In case this validity condition does not hold, or if the combinational circuit is embedded in a different type of a digital system, it could happen that some of the internal circuit may not have stabilized to their final values under the previous vector before the effect of the newly applied vector propagates to these nodes In such a event, the newly applied vector V1 interacts with not just the most recently applied previous vector V0, but also with other previously applied vectors This mode of operation assumption is termed the transition mode of operation and the delay of the combinational circuit found under this assumption is called delay by sequences of vectors Floating mode of operation assumption Unknown node values imply that the nodes could be at arbitrary values due to the effect of previously applied input vectors 17 Component Delay Model Another important input to timing analysis is component delay model. A common component delay model is the fixed delay model, where the delay of each component c is assumed to be a fixed number Dc. The monotone speedup model assumes that a component can be speeded up arbitrarily, so given for each component c, it assumes that the delay f the component lies in the range [0, Dc]. The bounded delay model assumes that each component delay can vary independently of each other in a range [Dc 1,D c u ] Although these component delay models are commonly used by previously reported timing analyzers, they are not very realistic since the delay of a component depends on many factors : input transition time, output loading, signal activity in neighboring components, internal component state fabrication process fluctuations, supply voltage and operating temperature. 18 9

10 Component Delay Model A family of circuits corresponds to a given circuit netlist with associated component delay models. A circuit instance is usually defined as a circuit obtained by setting the fabrication process parameters to fixed values. We define a circuit instance to be a circuit obtained by setting its component delays to fixed values within their respective component delay models. Note that under the fixed component delay model, a family of circuits has only one circuit instance - that with each component c set to its respective delay value Dc. We will use the term circuit to imply a family of circuits, unless noted otherwise. 19 The maximum circuit instance delay under a given mode of operation assumption is the maximum delay of the circuit instance over all possible inputs under this mode of operation, accounting for path sensitization The maximum circuit delay under a given mode of operation assumption and a given component delay model is the maximum delay of the circuit over all possible inputs under the given mode of operation and over all circuit instance possible under the given component delay model, again accounting for path sensitization The circuit instance at which this maximum occurs is termed the worst-case circuit instance The maximum path delay is the maximum delay a path can be attain for a given component delay model 20 10

11 The floating mode circuit instance delay cannot increase as component delays are reduced, that is, floating mode circuit instance delay follows the monotone speedup property Therefore under the monotone speedup component delay model and the bounded component delay model, the floating circuit delay is found by setting all component delays to their individual maximum values Under the floating mode of operation assumption, the worst case circuit instance is the one with all component delays set to their respective maximum values On the other hand, the 2-vector transition mode circuit instance delay does not follow the monotone speedup property 21 For any given circuit instance, the 2-vector transition mode delay cannot be greater than the floating mode delay This is because the assumption made about the initial values on the circuit nodes is more conservative under the floating mode of operation : allowing arbitrary initial node values under the floating mode of operation assumption takes into account the case when the initial node values are those determined by the previously applied input vector as under the 2-vector transition mode of operation assumption The floating mode delay upper bounds the circuit delay found under any of the other modes of operation It can be derived from this and the monotone speedup property of floating mode delay that the maximum circuit delay found under the floating mode of operation upper bounds the maximum delay of all possible circuit instances under all other modes of operation 22 11

12 An exact path sensitization criterion under the floating mode of operation A path P is exactly sensitizable under the floating mode of operation iff there exists at least one PI vector such that one of the following conditions is satisfied at each gate along the path P The on-path gate input is an earliest arriving controlling value, that is, all side-inputs settle either to non-controlling values at any time or to controlling values when or after the on-path controlling value arrives Or the on-path gate input is a latest arriving controlling value, that is, all side-inputs settle to non-controlling values no later than the arrival time of the on-path controlling value 23 For the loose criterion, the conditions on the gate sideinputs along the path being sensitized are the same as those for the exact criterion except for the last one If the on-path input is a non-controlling value, then all side-inputs settle to non-controlling values Note that no timing constraint has been imposed on the gate side-inputs in this case A path which may not be exactly sensitizable could be classified as loosely sensitizable Nevertheless it is proved that the maximum circuit delay is exactly the same for both criteria 24 12

13 Numerous Sensitization Criterion Numerous sensitization criterion If they are path correct and if the delay they compute is exact or an underestimate or an overestimate Criterion Path-correct Delay Exact(SENV) yes exact Loose(SENV) yes exact Dynamic no exact Viability yes exact Static no underestimate Brand-Iyengar no overestimate Du-Yen-Ghanta yes overestimate Perremans-Clasen yes overestimate -DeMan 25 Static Sensitization Benkoski et. al. Have proposed a path sensitization criterion wherein a path is statically sensitizable iff there exists at least one primary input vector such that the side-inputs at each gate along the path settle to non controlling values. The authors have also presented algorithms to find the statically sensitizable path with the maximum delay, using a either depth-first search technique or a best-first search technique to trace paths, and a modified D-algorithm. They have also introduced a mechanism for directing the path search using the maximum possible delay of a partial path (called its esperance), on the basis that a sensitizable path (under the exact criterion)need not be statically sensitizable, static sensitization has been shown to always produce a lower bound on the floating mode circuit delay. The theoretical argument for this can be found in, while an example circuit which demonstrates this is shown in. Interestingly, it has been shown via an example that the delay of the longest statically sensitizable path can sometimes be an upper bound on the 2-vector transition mode circuit delay

14 Viability A path is viable iff there exists at least one PI vector such that at each gate along the path, the side-inputs either settle to non-controlling values or terminate a viable path under the vector with larger delay A dynamic programming algorithm based on a best-first search technique to trace paths in a non-increasing order of their esperance, and on storing the most recent viability function at each gate input has also been presented An alternative approach for performing viability analysis using path-recursive functions has also been presented Though an unsensitizable path can be viable, the maximum circuit delay found using viability exactly equals the floating mode circuit delay This is because for any path that is viable but unsensitizable, there must exist another path of larger or equal delay that is viable and sensitizable 27 Brand-Iyengar A sensitization criterion where, given some ordering of the inputs to each gate in the circuit, a path is is considered to be sensitizable off there exists at least one vector such that the lower side inputs at each gate along the path settle to non-controlling values Under their sensitization criterion, a sensitizable path may be claimed to be false and an unsensitizable path may be claimed to be true, however, it has been proved that this sensitization criterion will never underestimate the floating mode circuit delay 28 14

15 Du-Yen-Ghanta A path sensitization criterion wherein a path is considered to be sensitizable iff there exists at least one vector such one of the following conditions is satisfied at each gate along the path The on-path input should be a controlling value if there is any side-input which is guaranteed to settle down later than the onpath input Or each side-input that is guaranteed to settle down no later than the on-path has be a non-controlling value This is implemented using a D algorithm 29 Perremans-Claesen-DeMan A path sensitization criterion wherein a path is sensitizable iff there exists at least one vector such that the side inputs at each gate along the path meet one of the following conditions If the on-path input is a non-controlling value, the side-inputs must settle to a controlling value Or if the on-path input is a controlling value, those side-inputs whose upper bound settling time is less than the delay of the onpath signals must settle to a non-controlling value The upper bound settling time at a node is a dynamic variable that gets updated as the algorithm progresses 30 15

16 TrueD-F Devadas et. al. have proposed a method to determine the sensitizability of a set of paths simultaneously, as opposed to many of the approaches described above which rely on path tracing. Their approach is used to answer the question Is there a true path of delay ˆ T?, where T is chosen by examining the path delays in decreasing order, or by a binary search among possible values. The method is based on simultaneously determining the sensitizability of all paths whose delays are greater than or equal to T, and it works by finding a test for a single stuck-fault at the circuit output using a timed D-calculus that is derived from the exact criterion. 31 Dynamic Criterion The dynamic sensitization criterion is similar to the loose sensitization criterion except that when the on-path gate input is a controlling value, the lower side-inputs (under some ordering of the inputs to each gate in the circuit) can either settle to non-controlling values at any time or to controlling values strictly after the on-path controlling value arrives. The order of inputs to a gate are determined dynamically, while an esperance-directed best-first search procedure is used for path tracing and a D-algorithm techniques is used for checking the consistency of the sensitization conditions

17 Timed Boolean Calculus Use timed boolean variables, which are a function of regular boolean variables and time, to model the transient behavior of each circuit mode The timed boolean calculus is a set of rules to propagate these timed boolean expressions through the logic elements in the circuit according to the exact sensitization criterion A heuristic is used to find an approximate representation for these expressions so that the sensitizability computation can be done in polynomial time, the trade-off being that the maximal delay found could be conservative 33 VIPER The conditions for vigorous sensitization of a path which are the same as the loose criterion This method is based on PODEM for sensitizability checking and critical path tracing by extending partial paths based on a cost function 34 17

18 Timed Boolean Function Timed Boolean Function(TBFs) use to determine the maximum delay of a circuit under the single-stepping transition mode of operation and the general transition mode of operation assumptions A TBF is the waveform at a node in the circuit and is represented as a function of the boolean variables and component delays in the fanin cone of the node and a varaible denoting time The sensitized approaches determine the maximum circuit delay under the floating mode of operation by setting all component delays to their individual maximum delay models 35 Timed Boolean Function This is valid for the fixed, monotone speedup and bounded component delay models However it may not be possible to set all component delays to their individual maximum values simultaneously due to the following effects Fabrication process effects : On-chip component delays are correlated to some extent to the effects of similar fabrication process fluctuations Signal propagation effects : The transition time of a gate output affects the delay of not just immediate fanout gates, but also of succeeding downstream logic Signal interaction effects : The delay of a transition through a gate will depend on signal activity on the gate side-inputs, while the delay of a transition through an interconnect line will depend on signal activity on neighboring lines due to capacitive coupling effects 36 18

19 Timed Boolean Function Under these effects, not all components may exhibit their maximum delay values simultaneously, e.g., an increase in the m-channel surface doping in a CMOS inverter increases the delay of an input rising transition and decreases the delay of an input falling transition, therefore the rise and fall delays of a an inverter cannot be simultaneously set to their individual maximum values. The assumption that all component delays can simultaneously be at their individual maximum values is a very pessimistic way of accounting for these effects, therefore previously reported floating mode timing analyzers based on the sensitization criteria mentioned above are very conservative in their delay estimates. Moreover, their sensitization mechanisms offer no way of accounting for these effects in a more realistic manner. Therefore, there is a need for a timing analysis mechanism which can account for fabrication process effects, signal propagation effects, and signal interaction effects very accurately. 37 Statistical Timing Verification Static Timing Verification There are two major reasons for statistical distributions of signal delays: signal interaction effects and fabrication process effects. Capacitive coupling between nets is a result of physical proximity. Manufacturing fluctuations affects both the gates and interconnect parasitics due to difficulties in controlling the fabrication process. These problems have received some attention but the approaches to realistic statistical timing verification to large digital ICs are still inadequate, especially for technologies below 0.25 microns in which the interconnect dominates the overall timing performance

20 Statistical Timing Verification Static Timing Verification In the domain of statistical timing verification, various attempts have been made to introduce delays as random variables in timing analysis. These have relied upon Gaussian delay distributions and operators to calculate the delay distributions for the signal paths. The results are not accurate due the assumption about the distributions, and the lack of component delay correlations and false path elimination in the analysis. Statistical simulation techniques introduced in STAT! Have modeled the delays within a macromodel block as functions of basic, statistically independent fabrication process variations. As a result, accurate delay distributions and correlations have been obtained under the assumption of single input transition. In the follow-up work, a methodology for formal modeling of signal interactions has been proposed. In this approach, conservative conditions on the minimum time difference between the subsequent input changes have been derived to guarantee the validity of the single input change delay macromodels. 39 Statistical Timing Verification Static Timing Verification An approach to compute the probability distribution of the delay of a circuit, given the probability distributions for the gate and wire delays. The corresponding tool is named TrueD-S. Each node in the circuit has a set of waveforms, transition sequence with a corresponding validity region. The symbolic transition sequences are an extension of timing diagrams for symbolic simulation, while a validity region is the intersection of a set of delay constraints. The waveforms are computed starting from the primary inputs and propagating them through the logic elements. At each logic element, combining the input waveforms can give rise to as many output waveforms as the number of possible total orderings of the switching instants of the combining input waveforms. Assuming that the circuit has no false paths, only the last symbolic switching instant needs to be retained for each waveform, thus saving memory

21 Statistical Timing Verification Static Timing Verification Determining the circuit delay in the presence of correlated variations in component delays cause by fabrication process fluctuations. Under the single-stepping transition mode of operation assumption, a path is said to be sensitizable if a transition can be propagated along the path all the way from the primary input to the primary input to the primary output. In order to do so, the sideinputs at each gate along the path being sensitized must be at non-controlling values during the time that the on-path transition passes through the gate. Each such sideinput requirement implies a set of boolean and path delay constrains, which is represented symbolically. The delay of the candidate path is maximized under the correlated component delay model, such that the side-input boolean conditions and associated path delay constraints are simultaneously satisfied. The approach itself, however, is very computation intensive in the presence of long false paths since the sensitization conditions are checked of a per-path basis 41 - PITA PITA Primitive PDF Identification based Say a given circuit has a set of primitive path sets PITA maximum circuit instance delay maxdelay x p = { Π p, Π p2,..., Π (ckt) = max{delay 1 pn x ( Π p1 } ),delay x ( Π p2 ),...,delay x ( Π pn )} delayx ( Π) = min{ delayx ( π ), delayx ( π 2),..., delayx ( π π pi, 1 n The shortest path j, which determines the delay of the primitive path set Π pi at circuit instance x, is called the primitive anchor path of. Π pi )} 42 21

22 - PITA PITA Primitive PDF Identification based The PITA maximum circuit instance delay is exactly equal to the maximum circuit instance delay under the floating mode of operation The number of primitive anchor paths in a circuit is less than the number of paths in the circuit that satisfy the loose criterion and consequently also less than the number of viable paths in the circuit 43 Maximum Circuit Instance Delay PITA PITA maximum circuit delay maxdelay(c kt) = max maxdelay ( ckt) = max{maxdelay( Π maxdelay( Π) = max min {delay x x x x ( π p1 ),..., maxdelay( Π ),delay ( π pn ),...,delay When the component delays are assumed to vary independently of each other, the PITA maximum circuit delay is exactly equal to the maximum circuit delay under the floating mode of operation. For any component delay model, the PITA maximum circuit delay is exactly equal to the realizable maximum circuit delay under the floating mode of operation 1 x 2 ) x ( π n )} 44 22

23 Primitive PDF Identification PITA The PITA approach netlist T(lower bound) component delay upper bounds component delay models iterative-ssta primitive path set( ) maxdelay( ) primitive path set delay evaluator mode = critical path mode = all path maximum circuit delay maximum circuit delay critical primitive path set critical primitive path set 45 Primitive PDF Identification PITA The core of the PITA methodology is based on the iterative-ssta (iterative Signal Stabilization Time Analysis) algorithm, which is used to identify primitive path sets whose delay upper bounds are greater than some lower bound threshold value. The iterative-ssta procedure uses only the upper bounds on the component delays to identify these primitive path sets, and every time such a primitive path set is identified, the primitive path set delay evaluators is invoked which computes the maximum delay of the primitive path set using the user-input component delay models

24 Primitive PDF Identification PITA Recall that the general form of the ST-expression at a node n is : st > T Bcond {Cexpr > T } st n0 n1 n > T n... Bcond Bcond... Bcond n 01 n0 N 0 1 n1 n1n1 {...} {Cexpr {Cexpr {...} {Cexpr n01 n0 N 0 1 n1 n1 N1 n > T } n > T } n > T } Where Bcond k n0/1 represent the Boolean conditions in terms of the primary input variables such that node n may have transitions but stabilizes ultimately to a logic 0/1, and {Cexpr Tn } are of the form n0/1 k > {(delay[path i ] > T n ) (delay[path j] > Tn )...} n 47 Pessimism of PITA PITA As with all other path sensitization mechanisms which perform the analysis under a floating mode of operation assumption, PITA can be more pessimistic than an analysis done under a single stepping transition mode of operation. PITA can overestimate the signal stabilization times because hazards at a gate input can cause the gate output to stabilize earlier. Static hazard Actual stabilizing time g Stabilizing time predicted by PITA 48 24

25 Application of PITA PITA Determination of the maximum circuit delay for independent varying bounded component delays Determination of the maximum circuit delay taking into account fabrication process effects, signal propagation effects, and signal interaction effects A post-layout delay optimization scenario where critical paths need to be identified and speed up using transistor and wire resizing, interconnect re-routing, buffer insertion, etc. A post-layout timing verification scenario where critical paths are iteratively identified and re-extracted more accurately to get a precise circuit delay estimate 49 25

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 http://cad contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This

More information

Static Timing Analysis Taking Crosstalk into Account 1

Static Timing Analysis Taking Crosstalk into Account 1 Static Timing Analysis Taking Crosstalk into Account 1 Matthias Ringe IBM Deutschland Entwicklung GmbH, Schönaicher Str. 220 71032 Böblingen; Germany ringe@de.ibm.com Thomas Lindenkreuz Robert Bosch GmbH,

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

A Brief History of Timing

A Brief History of Timing A Brief History of Timing David Hathaway February 28, 2005 Tau 2005 February 28, 2005 Outline Snapshots from past Taus Delay modeling Timing analysis Timing integration Future challenges 2 Tau 2005 February

More information

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis 888 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 7, JULY 2001 Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Static Noise Analysis Methods and Algorithms

Static Noise Analysis Methods and Algorithms Static Noise Analysis Methods and Algorithms Final Survey Project Report 201C: Modeling of VLSI Circuits & Systems Amarnath Kasibhatla UID: 403662580 UCLA EE Department Email: amar@ee.ucla.edu Table of

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

Gate-Level Timing Verification Using Waveform Narrowing

Gate-Level Timing Verification Using Waveform Narrowing Gate-Level Timing Verification Using Waveform Narrowing Eduard Cerny, Jindrich Zejda Dép. IRO, Université de Montréal, C.P. 618, Succ. Centre-Ville Montréal (Québec), H3C 3J7 Canada Abstract We present

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Chapter 2 Distributed Consensus Estimation of Wireless Sensor Networks

Chapter 2 Distributed Consensus Estimation of Wireless Sensor Networks Chapter 2 Distributed Consensus Estimation of Wireless Sensor Networks Recently, consensus based distributed estimation has attracted considerable attention from various fields to estimate deterministic

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns James Kao, Siva Narendra, Anantha Chandrakasan Department of Electrical Engineering and Computer Science Massachusetts Institute

More information

IT has been extensively pointed out that with shrinking

IT has been extensively pointed out that with shrinking IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 557 A Modeling Technique for CMOS Gates Alexander Chatzigeorgiou, Student Member, IEEE, Spiridon

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

TECHNOLOGY scaling, aided by innovative circuit techniques,

TECHNOLOGY scaling, aided by innovative circuit techniques, 122 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 2, FEBRUARY 2006 Energy Optimization of Pipelined Digital Systems Using Circuit Sizing and Supply Scaling Hoang Q. Dao,

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Andrew Clinton, Matt Liberty, Ian Kuon

Andrew Clinton, Matt Liberty, Ian Kuon Andrew Clinton, Matt Liberty, Ian Kuon FPGA Routing (Interconnect) FPGA routing consists of a network of wires and programmable switches Wire is modeled with a reduced RC network Drivers are modeled as

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic

Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic Dr M.ASHARANI 1, N.CHANDRASEKHAR 2, R.SRINIVASA RAO 3 1 ECE Department, Professor, JNTU, Hyderabad 2,3 ECE Department,

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Submitted in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY by P. Vani Prasad 00407006 Supervisor:

More information

Lecture 20 November 13, 2014

Lecture 20 November 13, 2014 6.890: Algorithmic Lower Bounds: Fun With Hardness Proofs Fall 2014 Prof. Erik Demaine Lecture 20 November 13, 2014 Scribes: Chennah Heroor 1 Overview This lecture completes our lectures on game characterization.

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University Power Estimation Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Contents Embedded Low-Power ELPL Laboratory SPICE power analysis Power estimation basics Signal probability

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Methodology for Circuit Optimization

Methodology for Circuit Optimization Methodology for Circuit Optimization by KENDRA L. MARKLE Submitted to the Department of Electrical Engineering and Computer Science in partial fulfillment of the requirements for the degree of Bachelor

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Nonuniform multi level crossing for signal reconstruction

Nonuniform multi level crossing for signal reconstruction 6 Nonuniform multi level crossing for signal reconstruction 6.1 Introduction In recent years, there has been considerable interest in level crossing algorithms for sampling continuous time signals. Driven

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects S. Abbaspour, A.H. Ajami *, M. Pedram, and E. Tuncer * Dept. of EE Systems,

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Application and Analysis of Output Prediction Logic to a 16-bit Carry Look Ahead Adder

Application and Analysis of Output Prediction Logic to a 16-bit Carry Look Ahead Adder Application and Analysis of Output Prediction Logic to a 16-bit Carry Look Ahead Adder Lukasz Szafaryn University of Virginia Department of Computer Science lgs9a@cs.virginia.edu 1. ABSTRACT In this work,

More information

Derivation of an Asynchronous Counter

Derivation of an Asynchronous Counter Derivation of an Asynchronous Counter with 105ps/bit load time and early completion in 90nm CMOS Adam Megacz July 17, 2009 Abstract This draft memo describes the process by which I methodically derived

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors Design and Analysis of Power Distribution Networks in PowerPC Microprocessors Abhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan Advanced Tools Group, Advanced System Technologies

More information

NOISE has traditionally been a concern to analog designers,

NOISE has traditionally been a concern to analog designers, 1132 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 8, AUGUST 1999 Harmony: Static Noise Analysis of Deep Submicron Digital Integrated Circuits Kenneth L. Shepard,

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

HIGH-performance microprocessors employ advanced circuit

HIGH-performance microprocessors employ advanced circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 645 Timing Verification of Sequential Dynamic Circuits David Van Campenhout, Student Member, IEEE,

More information

6. FUNDAMENTALS OF CHANNEL CODER

6. FUNDAMENTALS OF CHANNEL CODER 82 6. FUNDAMENTALS OF CHANNEL CODER 6.1 INTRODUCTION The digital information can be transmitted over the channel using different signaling schemes. The type of the signal scheme chosen mainly depends on

More information

Output Waveform Evaluation of Basic Pass Transistor Structure*

Output Waveform Evaluation of Basic Pass Transistor Structure* Output Waveform Evaluation of Basic Pass Transistor Structure* S. Nikolaidis, H. Pournara, and A. Chatzigeorgiou Department of Physics, Aristotle University of Thessaloniki Department of Applied Informatics,

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers Albert Ruehli, Missouri S&T EMC Laboratory, University of Science & Technology, Rolla, MO with contributions by Giulio Antonini,

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Performance Evaluation of different α value for OFDM System

Performance Evaluation of different α value for OFDM System Performance Evaluation of different α value for OFDM System Dr. K.Elangovan Dept. of Computer Science & Engineering Bharathidasan University richirappalli Abstract: Orthogonal Frequency Division Multiplexing

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design The Need for a Design Style by A. Steininger Vienna University of Technology Outline Skew versus consistency The need for a design style Hazards, Glitches & Runts Lecture "Advanced

More information

Introduction (concepts and definitions)

Introduction (concepts and definitions) Objectives: Introduction (digital system design concepts and definitions). Advantages and drawbacks of digital techniques compared with analog. Digital Abstraction. Synchronous and Asynchronous Systems.

More information

Techniques for Generating Sudoku Instances

Techniques for Generating Sudoku Instances Chapter Techniques for Generating Sudoku Instances Overview Sudoku puzzles become worldwide popular among many players in different intellectual levels. In this chapter, we are going to discuss different

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

Guaranteeing Silicon Performance with FPGA Timing Models

Guaranteeing Silicon Performance with FPGA Timing Models white paper Intel FPGA Guaranteeing Silicon Performance with FPGA Timing Models Authors Minh Mac Member of Technical Staff, Technical Services Intel Corporation Chris Wysocki Senior Manager, Software Englineering

More information

8. Combinational MOS Logic Circuits

8. Combinational MOS Logic Circuits 8. Combinational MOS Introduction Combinational logic circuits, or gates, witch perform Boolean operations on multiple input variables and determine the output as Boolean functions of the inputs, are the

More information