ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

Size: px
Start display at page:

Download "ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis"

Transcription

1 ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji, Tokyo, 185, Japan Kazuo Yano Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Abstract- The layout synthesis for passtransistor cells (PTCs) is different from that for CMOS cells because of the various sizes of transistors used in a PTC and the imbalance in the number of pmos and nmos transistors. This makes it difficult to apply commonly used linear transistor placement to PTC layout. Moreover, the mixed placement with CMOS cells restricts the layout freedom of PTCs. Therefore a sandwiched selector structure and pass-transistor graph search are proposed for enabling a multi-row transistor layout and an efficient search algorithm for the diffusion layer sharing problem. Pass-transistor cells generated by ALPS (automatic layouter for pass-transistor cell synthesis) are confirmed to have almost the same area density as that of manually designed cells. I. INTRODUCTION In microprocessor design, low-power and high-speed are becoming more and more important because the recent widespread use of portable equipment has accelerated the demand for low power consumption. However, high-speed operation is still an important factor. Pass-transistor logic (especially single-rail nmos pass-transistor logic) is expected to make it possible to meet both of these requirements[1,,3]. Under these circumstances, the logic synthesis for pass-transistor logic has been researched intensively and recently it has been reported that the mixed use with CMOS logic is very effective for random logic circuits[4]. To utilize pass-transistor logic in various process technologies, however, the cell library must be provided in advance. Figure 1 shows typical pass-transistor cells (PTCs) in a library, where there are various shapes of pass-transistor network. When diffusion-shared-type and gate-shared-type cells are included in the library, the number of cells exceeds one hundred even if the depth of passtransistor is limited by three. To provide so many cells for each process technology is not an easy task. In CMOS, the layout synthesis for the leaf cells has been researched for many years because CMOS logic is the main stream in logic circuits[5,6,7,8]. Especially for the combinational circuit with the dual relation between pmos and nmos, the layout synthesis using the linear (one-row) transistor placement works well. So we can apply CMOS logic to various process technologies without much difficulty. On the other hand, for pass-transistor logic, the layout has been manually designed in most cases because it has been used mainly for arithmetic macros for many years. Thus there has been no need to synthesize cell layouts for the pass-transistor logic. Though not in an arithmetic macro, the pass-transistor logic was used in a gate array architecture a few years ago. The base cell layout specific to the pass-transistor logic was proposed for this architecture and it had a multi-row transistor placement resulting in a high area density [9]. However, a gate array needs only one base cell layout and there was no need to prepare a lot of cell layouts, so this work did not really inspire the PTC layout synthesis. The PTC synthesis became a requirement after the maturity of the standard-cellbased pass-transistor logic synthesis. If the conventional approach used in the CMOS layout synthesis was also available for PTC, it would be easy for the pass-transistor logic to be used in various processes. However, the CMOS synthesis is not suitable for PTC for several reasons. To answer this situation, a new layout synthesis for PTC is inevitable for the utilization of the pass-transistor logic. I4 I7 I8 I9 I5 I6 = I4 I5 I6 I7 I4 I5 I4 I5 0 1 I6 I7 I8 I9 I4 I5 I6 I7 I4 I5 I7 I6 I4 I5 I6 3 I7 I8 I90 1 I4 I5 I6 = I7 I4 I5 I6 I7 I8 I I6 I7 I5 I4 A B C D E I4 I5 F G H I J Fig. 1. Typical pass-transistor cells (PTCs). I6

2 II. CHARACTERISTICS OF PTC LAYOUT There are several difficulties in PTC layout. The first is related to unique characteristic of its having various sizes of transistors as shown in Fig.. If the linear transistor placement for each pmos and nmos is used for the PTC, the cell must have much dead area. The second difficulty is the imbalance in the number of pmos and nmos transistors. In general, the number of nmos transistors is more than that of pmos transistors resulting in the dead area in the pmos region. The third problem happens when pass-transistor logic is used with CMOS logic. There is a possibility of the abutment between a pass-transistor cell and a CMOS cell, therefore the power lines (VDD and GND) must have the same position and width in both cells. In CMOS cells, the VDD line and the GND line are on the upper part and the lower part in the cell respectively in most cases, so this condition must also be kept in a PTC. Consequently the location of passtransistors in the cell is restricted. For these reasons, it has been very difficult to generate PTC layout with high area density. In this paper, we propose a sandwiched selector structure to enable a multi-row transistor layout. We also propose an original method for the transistor clustering and placement using the pass-transistor graph to solve the diffusion layer sharing problem specific to PTC. The layout system for PTC based on the new technology is ALPS (automatic layouter for pass-transistor cell synthesis). IN3 passtransistor (medium) IN4 IN5 IN IN3 IN6 IN input inverter feedback transistor output buffer (large) OUT Fig. Circuit of a pass-transistor cell with various sizes of transistors. used. These methods are conventional and well-known technologies, so they are not mentioned here. As for the programming, we developed ALPS in a hybrid way. That is, we used two object-oriented languages: perl 5 with Tk and c++. The transistor clustering gathers transistors in two steps. In the first step (first-level clustering), the transistors in the selector and the output buffer are gathered based on the auxiliary cluster information. This information is given by the designer directly with the circuit netlist and it tells which transistors belong to the selector and which belong to the output buffer. So this step is straightforward. In the second step (second-level clustering), the pass-transistors which can share the common diffusion layer are searched and gathered: namely, several first-level clusters are combined to make a second-level cluster. The pass-transistor graph is used to solve the diffusion-layer-sharing problem, which is explained in Section V. The cluster placement defines the location of the transistors in two ways: intra-cluster and inter-cluster. For the intra-cluster, a sandwiched selector structure is used, which is explained in Section IV. For the inter-cluster, the transistors are placed according to the result of the second-level clustering and the position in the signal flow. The well-boundary shifting is applied only when the sandwiched selector structure is difficult to use due to the restriction imposed by the CMOS cell model. Circuit Netlist Transistor Clustering Cluster Placement Well Bounday Shifting Routing Compaction Cell Layout Process Technology Fig. 3. PTC layout flow used by ALPS. Cluster Auxiliary Information III. ALPS SYSTEM OVERVIEW The synthesis flow in ALPS is shown in Fig. 3. It is divided into five sub-processes, that is, transistor clustering, cluster placement, well-boundary shifting, routing and compaction. The proposed technologies are related to transistor clustering, cluster placement, and well boundary shifting. For the routing, the maze running was used, and for the compaction, the constraint graph based method was IV. SANDWICHED SELECTOR STRUCTURE There are three parts in a pass-transistor cell: the output buffer, the pass-transistor selector, and the input inverter. As shown in Fig., the output buffer has large-size transistors and the pass-transistor selector has medium-size transistors. The input inverter has small-size transistors. Hence there are three sizes of transistors in a pass-transistor cell. If commonly used linear transistor placement is applied to the

3 PTC layout, much dead area results around the medium and small transistors as shown in Fig. 4. If these medium and small transistors were combined and placed in a good way, it would reduce the dead area. Therefore, instead of placing a pass-transistor and an input inverter independently, the passtransistor is inserted between the pmos and the nmos transistors of the input inverter as shown in Fig. 5, which we call the sandwiched selector structure. This structure is very suitable for PTC layout for the following reasons. Because the source and drain terminals of the pass-transistors are connected to internal or external signal nodes, it is desirable not to locate pass-transistors near the power lines in order to prevent any short circuit when pulling wires out from the terminals. Placing the pmos and the nmos transistors of the input inverter near the power lines is reasonable because their source terminals are connected to the power lines. Moreover, the gate of one of the pair pass-transistors and that of input inverter's pmos and nmos transistors belong to the same signal net: therefore three gate terminals can be connected with one poly-silicon line. This enables the placement of three transistors on one column and this also achieves the reduction of the wire length. VDD line output buffer (large) GND line feed-back transistor dead area input inverters pass-transistors (medium) Fig.4. Pass-transistor cell layout with the linear transistor placement. The sandwiched selector structure is the initial structure, so the sandwich-like relation in the X coordinate between the pass-transistor and the input inverter transistors will change after the compaction. However, the relation among these three transistors in the Y coordinate will be kept the same through out the cell generation in order to take advantage of the multi-row transistor placement. The connection using one poly-silicon line, not necessarily a linear poly-silicon, will also be kept through out the generation. In several cases, it is impossible to use the sandwiched selector structure. For example, it happens when the minimum size of the transistor is relatively large or when the power lines are much wider than normal. The impossibility comes from the imbalance in the number of pmos and nmos transistors. In general, the well boundary is located at the center of the CMOS cell, and this makes it difficult to place two transistors on one column in the nmos region. In this case, shifting up the well boundary is effective to give more space for the nmos region (as shown in Fig. 6) and this makes it possible to use the sandwiched selector structure. well boundary located at the center of the cell sandwiched selector structure Fig. 5. Sandwiched selector structure. input inverter pmos passtransistor input inverter nmos V. TRANSISTOR CLUSTERING AND PLACEMENT Transistor clustering consists of two steps as described in Section III: first-level clustering and second-level clustering. The first-level clustering only gathers transistors in each of selectors and output buffers to make corresponding clusters according to the auxiliary information. The second-level clustering combines several first-level clusters to take advantage of the diffusion layer sharing. The combined clusters are placed according to the representative values which show their position in the signal flow. Because the first-level clustering is straightforward, the second-level clustering and placement will be described in this section. VDD line GND line shifted wellboundary Fig. 6. Shifting of the well-boundary. In the CMOS cell model, the height is already defined, so decreasing the area means reducing cell width. CMOS layout synthesis tries to maximize the diffusion layer sharing because this will reduce the diffusion layer isolation; namely the reduction of the cell width. Maximizing the sharing is also effective for PTC synthesis, but the situation is different from the CMOS one in several points. In PTC layout, the diffusion layer sharing mainly happens between pass-

4 transistors and between the-same-channel type transistors of the input inverters. Assuming the sandwiched selector structure, the cell width is dominated by the diffusion layer sharing of pass-transistors. This is because a pass-transistor selector needs two nmos transistors while an input inverter needs only one pmos transistor and one nmos transistor. Thus the sum of the width of the diffusion layer islands of the pass-transistors defines the cell width. As a result, concentrating on the sharing problem only for passtransistors is enough to minimize the cell width. The pass-transistor graph is used for this purpose. It is an undirected graph where each edge corresponds to either a pass-transistor or a pair of pass-transistors in a selector as shown in Fig. 7. These graphs correspond to the circuit in Fig.. After the construction of a pass-transistor graph for the circuit, the minimum number of Eulerian paths is searched. As shown in Fig. 7, there are two ways to correspond each edge: one is corresponding each edge to a pass-transistor and the other is corresponding each edge to a pair of pass-transistors in a selector. The advantage of attaching the constraint of pairing two pass-transistors is explained in the following. First, the true pass-transistor and the false pass-transistor are placed next to each other resulting in the reduction of wire length between the passtransistor and the input inverter. Second, the number of nodes and edges in the pass-transistor graph is reduced, so the search space for finding the minimum number of Eulerian paths is narrowed. On the other hand, the disadvantage is that it may increase the number of Eulerian paths in the pass-transistor graph resulting in the increase in the number of diffusion islands. Consequently we examined the circuits in a library and counted the number of Eulerian paths in each of two graphs and found that there is little difference in most cases. Actually, in the case of Fig. 7, although the number of Eulerian paths is two in both graphs (a) and (b), the search is much easier in (b) than in (a). Thus the constraint of pairing two pass-transistors is used. N N0 (a) N1 IN3 IN4 IN5 Eulerian path # Eulerian path #1 N (b) N1 IN3 IN4 IN5 Eulerian path # Eulerian path #1 Fig. 7. Pass-transistor graph: (a) without the constraint of pairing of pass-transistors and (b) with the constraint. Next, the first level-clusters, which have pass-transistors in the same Eulerian path, are combined to make the second-level cluster. Figure 8 shows an example after the clustering. The transistor placement is performed based on the signal flow in the circuit, because it is expected to help reduce the total wire length. To quantify each cluster s position on the signal flow, the depth from the output is calculated for each transistor. The value of the depth is defind as follows. First, the number of transistors the signal pass through from each of three terminals of the transistor to the output is calculated. In this step, the terminals connected to the power line or external signals are eliminated because they influence little on the internal wire length. Second, the values for all terminals are averaged to make the depth value for the transistor. The average of the depth of all transistors in a cluster is calculated and it is set to the representative value of the cluster as shown in Fig. 8. According to the depth representatives, clusters are placed from the one with a small value on one side to the one with a large value on the other side. VI. EXPERIMENTS We applied ALPS to typical PTCs referred to Fig. 1 and compared the cell width with that of manually designed cells. The process used in ALPS is 0.5µm technology and that of manual design is 0.35µm technology, so the value of the metal pitch is used to compare the cell widths in each technology. The results are shown in Table 1. The cell width of PTCs with conventional linear transistor placement is very large because of the dead area. On the other hand, the width of the cells generated by ALPS is much smaller and it is almost the same as that of manually designed cells. In G case and I case, the results of ALPS are worse than that of manual design. The reason is as follows. In the manual design, shifting adjacent pass-transistor diffusion islands alternately in y-direction could give a better compaction for PTCs with more than four selectors and this reduced the cell width. Using this shifting, the limiting design rule could be changed from diffusion space rule to poly-diffusion space rule. Although the current version of ALPS does not have the pass-transistor shifting algorithm, introducing the algorithm will improve the layout efficiency. To analyze the area efficiency, the number of transistors per pitch were compared among CMOS cells, the conventional PTCs with linear transistor placement, and PTCs generated by ALPS. The results are shown in Table. For CMOS cells, the number varies from 1.33 to 1.6. On the other hand, for PTCs with linear transistor placement, the number varies from 0.84 to 1.0, and for the PTCs generated by ALPS, the number varies from 1.36 to The ratios to the conventional PTCs are also shown in Table. The area

5 efficiency of the PTCs generated by ALPS is 40 to 80% higher than that of the conventional PTCs. Comparing the number of the PTCs generated by ALPS with that of CMOS cells, we notice that the area efficiency of the PTCs is almost the same as that of the CMOS cells. This means that the area needed for one transistor is the same even if either CMOS logic or pass-transistor logic is used. Threfore, when we need a function and want to integrate it on a silicon, the area needed is almost proportional to the number of the transistors. For example, if the function we want to integrate is NAND logic, the area is smaller in CMOS logic than in passtransistor logic because CMOS logic needs four transistors while pass-transistor logic needs seven transistors. On the other hand, if the function is EOR logic, the area is smaller in pass-transistor logic than in CMOS logic because CMOS logic needs twelve transistors while pass-transistor logic needs seven transistors. IN cluster #3 INB 3 IN3 IN4.5 depth of transistor IN N IN5 cluster # depth representative depth of net cluster #1 0.5 B cluster # cluster #3.5 IN0 N IN0B IN0 N0 1 cluster #1 Fig.8. Clusters and the depth representaives from the output. Figure 9, which corresponds to the circuit in Fig., shows the layout generated by ALPS. In the layout, transistors are placed in a multi-row style and one diffusion layer island is shared among four pass-transistors. The true and false pass-transistors are placed next to each other and the wires between the pass-transistor and the input inverter are short. In terms of design time, when we designed manually it took three to four months to layout about 70 PTCs including the verification time. On the other hand, we needed two to three weeks to layout the same number of cells with ALPS. This means pass-transistor logic is usable in various process technologies because of the shortened preparation time of a cell library. VII. SUMMARY A layout synthesis method for pass-transistor cells (PTCs) is proposed. Here the sandwiched selector structure and the pass-transistor-graph-based clustering and placement are used. ALPS based on the proposed technologies OUT generated high-density PTCs comparable to manually designed cells. The cells also showed about 40 to 80% higher value in the number of the transistors in a metal pitch than the PTCs with the linear transistor placement. In terms of design time, ALPS reduced it to about one fifth that of manual design. TABLE 1. Comparison of the cell width among manual design, conventional PTC and PTC generated by ALPS. Unit is metal pitch. cell type A B C D E F G H I J manual PTC (conventional) PTC (ALPS) ACKNOWLEDGEMENT We thank H. Inayoshi, K Sasaki, and K. Uchiyama for their steady encouragement. We also thank T. Hattori, R. Shibata, and Y. Shimizu for their valuable advice on the layout method. REFERENCES [1] K. Yano, Y. Sasaki, K. Rikino, K. Seki, Top-down passtransistor logic design, IEEE J. Solid State Circuits, Vol. 31, p79 Apr [] Y. Sasaki, K. Yano, S. Yamashita, H. Chikata, K. Rikino, K. Uchiyama, K. Seki, Multi-level pass-transistor logic for low power ULSIs, Dig Symposium on Low-Power Electronics, p14, [3] K. Taki, A Survey for recent pass-transistor logic research and development Dig. DA Symposium 97 in Japan, p147, [4] S. Yamashita, K. Yano, Y. Sasaki, Y. Akita, H. Chikata, K. Rikino, K. Seki, Pass-transistor/CMOS collaborated logic; The best of both worlds, Dig Symposium on VLSI circuits, p31, [5] T. Uehara, W. M. Vancleemput, Optimal layout of CMOS functional arrays, IEEE Transactions on Computers, vol. c-30, no. 5, p 305, May [6] C. C. Chen, S. L. Chow, The layout synthesizer: An automatic netlist-to-layout system, IEEE Design Automation Conference p3, [7] C. L. Ong, J. T. Li, C. Y. Lo, GENAC: An automatic cell synthesis tool, IEEE Design Automation Conference, p39, [8] Y. C. Hsieh, C. Y. Hwang, Y. L. Lin, Y. C. Hsu, LiB: A CMOS cell compiler, IEEE Transactions on Computer-Aided Design, Vol. 10, No. 8, p994, August [9] Y. Sasaki, M. Hiraki, K. Yano, M. Miyamoto, T. Matsuura, K. Seki, Pass-transistor-based gate-array architecture, Dig Symposium on VLSI circuits, p13, 1995.

6 TABLE. Comparison of the number of transistors per pitch. CMOS # of Tr cell type in a pitch NAND 1.33 NOR NAND NOR NAND NOR AND OR 1.33 EOR 1.33 ENOR 1.33 PTC (conventional) # of Tr cell type in a pitch A 1.00 B 0.9 C 0.88 D 0.88 E 0.88 F 0.86 G 0.85 H PTC (ALPS) # of Tr in a pitch I 1.48 J 1.50 ratio to the conv Fig. 9. Layout of the circuit in Fig. generated by ALPS

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 MACGDI: Low MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications N. Subbulakshmi Sri Ramakrishna Engineering

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2 ISSCC 2003 / SESSION 6 / OW-POWER DIGITA TECHNIQUES / PAPER 6.2 6.2 A Shared-Well Dual-Supply-Voltage 64-bit AU Yasuhisa Shimazaki 1, Radu Zlatanovici 2, Borivoje Nikoli 2 1 Hitachi, Tokyo Japan, now with

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Digital Integrated Circuits 1: Fundamentals

Digital Integrated Circuits 1: Fundamentals Digital Integrated Circuits 1: Fundamentals Atsushi Takahashi Department of Information and Communications Engineering School of Engineering Tokyo Institute of Technology 1 VLSI and Computer System VLSI

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Comparative Analysis of Multiplier in Quaternary logic

Comparative Analysis of Multiplier in Quaternary logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 3, Ver. I (May - Jun. 2015), PP 06-11 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparative Analysis of Multiplier

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Design and Implementation of combinational circuits in different low power logic styles

Design and Implementation of combinational circuits in different low power logic styles IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 01-05 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

Energy Efficient Full-adder using GDI Technique

Energy Efficient Full-adder using GDI Technique Energy Efficient Full-adder using GDI Technique Balakrishna.Batta¹, Manohar.Choragudi², Mahesh Varma.D³ ¹P.G Student, Kakinada Institute of Engineering and technology, korangi, JNTUK, A.P, INDIA ²Assistant

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

REFERENCE voltage generators are used in DRAM s,

REFERENCE voltage generators are used in DRAM s, 670 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 5, MAY 1999 A CMOS Bandgap Reference Circuit with Sub-1-V Operation Hironori Banba, Hitoshi Shiga, Akira Umezawa, Takeshi Miyaba, Toru Tanzawa, Shigeru

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

ECE380 Digital Logic. Logic values as voltage levels

ECE380 Digital Logic. Logic values as voltage levels ECE380 Digital Logic Implementation Technology: NMOS and PMOS Transistors, CMOS logic gates Dr. D. J. Jackson Lecture 13-1 Logic values as voltage levels V ss is the minimum voltage that can exist in the

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble 1 Electronic Design Automation at Transistor Level by Ricardo Reis Preamble 1 Quintillion of Transistors 90 65 45 32 NM Electronic Design Automation at Transistor Level Ricardo Reis Universidade Federal

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc.

Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc. Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc. bonnie.baker@microchip.com Some single-supply operational amplifier advertisements

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

A High Speed Low Power Adder in Multi Output Domino Logic

A High Speed Low Power Adder in Multi Output Domino Logic Journal From the SelectedWorks of Kirat Pal Singh Winter November 28, 2014 High Speed Low Power dder in Multi Output Domino Logic Neeraj Jain, NIIST, hopal, India Puran Gour, NIIST, hopal, India rahmi

More information

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Priyadarshini.V Department of ECE Gudlavalleru Engieering College,Gudlavalleru darshiniv708@gmail.com Ramya.P Department of ECE

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits

Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits 566 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits Arkadiy Morgenshtein,

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

A SUBSTRATE BIASED FULL ADDER CIRCUIT

A SUBSTRATE BIASED FULL ADDER CIRCUIT International Journal on Intelligent Electronic System, Vol. 8 No.. July 4 9 A SUBSTRATE BIASED FULL ADDER CIRCUIT Abstract Saravanakumar C., Senthilmurugan S.,, Department of ECE, Valliammai Engineering

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General Where are we? Lots of Layout issues Line of diffusion style Power pitch it-slice pitch Routing strategies Transistor sizing Wire sizing Layout - Line of Diffusion Very common layout method Start with a

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN XXVII SIM - South Symposium on Microelectronics 1 Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN Jorge Tonfat, Ricardo Reis jorgetonfat@ieee.org, reis@inf.ufrgs.br Grupo de Microeletrônica

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information