TKT-1212 Digitaalijärjestelmien toteutus

Size: px
Start display at page:

Download "TKT-1212 Digitaalijärjestelmien toteutus"

Transcription

1 TKT-1212 Digitaalijärjestelmien toteutus Lecture 15 - System design trends & challenges Erno Salminen, TUT, 2012 Sidenote regarding exercises: Always mark the initial state of the FSM!

2 Outline and acknowledgements Challenges in digital systems Introduction to system design Most slides were made by Ari Kulmala The International Technology Roadmap for Semiconductors M. Keating and P. Bricaud, Reuse Methodology Manual for System-on-a-Chip Designs, 3rd Edition 2

3 System drivers There isn t any one size fits all solution Digital products have e.g. 6 different segments, all with with emphasizing different aspects E.g. security vs. cost RS/2009Chapters_2009Tables/200 9_SysDrivers.pdf 3

4 Implementation style trend: Moore s law and more Moore s law states roughly: The number of components [transistors] on an integrated circuit doubles every years SiP: Many ICs in a single package (system-in-package) 4

5 System-on-chip (SoC) Integrated circuit (IC) that integrates most (all?) components of a computer or other electronic system into a single chip Processors, memories, hardware accelerators, I/Os Interfaces to external memories, analog devices, etc mobile phones included 15 ICs and 800 other discrete components and in ICs and 200 discrete components [Neuvo, 2004] Using SoC reduces system costs ($) and power, offers faster Two main SoC types: 1. power-efficient (PE) for mobile 2. high-performance (HP, later a.k.a. CS consumer stationary) 5 [Y. Neuvo, Cellular phones as embedded systems, IEEE Int l Solid-State Circuits Conference,Digest of Technical Papers, pp ] Texas Instruments OMAP chips have been used e.g. in many smart phones

6 System-on-chip types 1. Consumer stationary Eg E.g. a high-end game machine (like PS3) Performance is most important differentiator. Wide application domain Many functions implemented with software General-purpose processing engines Has less processing engines than mobile SoCs Relatively long life cycle Easy to add or modify functions Die-size about 220 mm 2 2. Power-efficient E.g. mobile phones Power consumption is strictly limited by the battery (lifetime) High performance required nevertheless Specialized application domain with rather predictable processing (e.g. channel coding, video ) Some general-purpose and many specialiazed HW units Rapid progress and hence life cycle is short Die-size about 64 mm2 6

7 Challenges in digital system design High-level challenges, not taking into account physical and manufacturing issues 1. Managing design complexity and verification 2. Minimizing power consumption 3. Economics 4. (optimizing chip area & performance) 7

8 Design challenge #1 :Complexity Good news: "Over the past ten years, reuse leverage more than doubled, and more reuse tends to translates into less project effort, shorter cycle times as well as fewer spins and less schedule slip. Bad news: 85%-89% of IC projects miss their original schedules Schedule slip is 5-30% [Accenture s report] or even 44% [Numetrics report] One reason is that re-usable components are not, after all, easy to integrate [Eetimes] cleid= _NT_68f71562.HTM 8 Fig. SoC size as function of time (CAGR= compound annual growth rate)

9 Design complexity Logic size increases over 10x per decade! There will be lots of people, lots of files, lots of requirements All things must at least double-checked, d hence all steps must be repeatable Reuse and automation are crucial Be extra careful with versioning and verification 9

10 Example: IBM/Sony/Toshiba CELL BE, Commercial chip with multiple heterogeneous processors: 1 64-b PowerPC (32KB L1) b SPEs (256 KB scratch-pad mem), each with DMA, 512 KB L2 3.2 GHz, orig. 90 nm, 221 mm2, 240M transistors, theor. max 230 GFLOPS Acronyms: synergistic processor elements (SPE) dual-threaded power processor element (PPE) element interconnect bus (EIB) (actually ring) 10

11 New architectures: Intel Terascale/Polaris, Research processor with 80 homogeneous cores (small processors) Interconnected with 2-D mesh network-on-chipon Stacked chip: to solve local memory problems Parallel programming g becomes mainstream How to do it efficiently? 11 Fig 1.Die stacking improves performance Fig 2. Intel Polaris

12 High-performance SoC trend DPE = data processing engine 12 ITRS 2006 update,

13 SoC-PE Design complexity trends 13 #DPEs is 3-4x compared to SoC-HP Memory dominates the area

14 Additional constraints (power- efficient SoC) Problem #2 Problem #1 More performance, very well 14 ITRS 2005,

15 Challenge #2: Power consumption 15 Chip power consumption can be defined as P avg = P dynamic + P leakage Traditionallly P dynamic dominated in CMOS and P leakage was very small However, in 90nm and below, leakage becomes an increasingly important factor (see fig) Other factors, such shortcircuit power when gate switches state, are often ignored Power optimization done both at design time and a runtime Fig. Leakage vs. dynamic power [

16 Dynamic power consumption P K C V f 2 f dynamic out dd K = average number of transitions of the output node every cycle divided by two (e.g. ½ means that there is a single transition each cycle) Glitches etc V dd = Supply voltage f = clock frequency C out = output capacitance Note the square-law dependence of V dd Typically, y, higher the f, higher V dd required 16

17 Power breakdown in Hi-perf Soc Sta Dy atic P ynamic P Variability between devices and temperature effects will increase leakage notably 17 Power consumptionof one DPE reduces but their number increases. Battery life is not an issue, but chip packaging and cooling are. ITRS 2006 update,

18 Power breakdown in mobile SoC Larger fraction of power is static since these devices are always-on. Note also that memory s static (leakage) power is larger than dynamic. Dyna amic P Static P Note the difference in y-axis scales: 14W vs. 600 W (prev slide)

19 Challenge #3: Economics Rapid technology change shortens product life cycles and makes time-to-market a critical issue Margins are very small in many business areas Very high h design productivity is needed d Sure we can build that but will anyone want to buy it with such a price? Maximizing volume reduces unit costs Speed binning chips are priced according to their freqeuncy Note that many customers in cosumer markets appreciate little bit different things than engineers 19

20 Simplified Electronic Product Development Cost Model 20

21 Major steps in design productivity Reuse, higher abstraction level and design automation are the intertwined cornerstones. Lots of research needed in general. In many cases, adopting the existing good methods is already a step forward for many companies. 21

22 Design development costs Manufacturing non-recurring engineering (NRE) costs are in the order of millions of dollars (mask set + probe card) for high-end chips High non-recurring engineering (NRE) costs necessitate high volume Design errors can cause silicon re-spins that multiply manufacturing NRE ASIC manufacturing actu cycle times are measured e in weeks, with low uncertainty. Design and verification cycle times are measured in months or years, with high uncertainty. Test cost has grown faster than manufacturing costs Software can account for 80% of embedded-systems development cost Verification engineers outnumber design engineers on microprocessor project teams Verification is top priority from day one, not the last phase just before delivery 22

23 23 System design process

24 System design phases Blocks are preferably reusable IPs Blocks implemented as in earlier lectures with reusable macros 24

25 System Design Process 1. System specification Identify the system requirements (engineering, marketing) Formulate the preliminary specification 2. Develop a behavioural model and test environment Basic algorithms, their usability (e.g. good enough video encoding quality) Executable specification, golden reference, e.g. C++/SystemC Develop environment for verifying the functionality and performance of the design 3. Model refinement Do not intrdocude too many details at first. Add them gradually. Try to discard definitely bad choices early E.g. floating point model -> fixed-point model -> cycle-accurate and bit-accurate model 25

26 System Design Process (2) 4. HW/SW partitioning (decomposition) Largely a manual process guided by experience and understanding of tradeoffs (area vs. performance) Define the interfaces between HW and SW, communication protocols 5. Specify and develop a hardware architectural model IPs, Memory architecture, interconnection structure Bandwidth, latency Start from high level models, transaction-level modeling Rfi Refine the architecture until it meets the requirements 6. Refine and test architectural model (co-simulation) A behavioural model of the HW A prototype version of the SW System-level test SW Key to success efficient HW-SW co-design 26

27 System Design Process (3) 7. Specify and implement blocks Reuse if possible E.g. HW specification: Basic functions Timing, area, and power requirements Physical and SW interfaces Descriptions of the I/O pins and register map Separate testbenches for all HW components! 8. Integration First small blocks together, then bit larger, then... Check the assumptions and estimations made in earlier phases 27

28 System design timeline Spiral flow instead of waterfall Maximum parallelism HW development (prototypes, emulation) SW development Verification (verification environment) HW/SW integration Iterations after iterations Inevitable Gradual refinement Physical issues taken into account early 28

29 29 ITRS 2006 update,

30 30 Teaching in DCS

31 Yksinkertaistetut kurssien esitiedot 11/12, laatinut ES Esitiedot /Koulutusohjelma- kohtaiset Kandidaatin tutkinto 25 op TKT-1212 DigJärjTot 8 op (k3) TKT-2431 SoC-Suunn 5 op (s1) DI-tutkinto 30 op TKT-3541 Soc-Alustat 5 op (k3) TKT-1101 TKT-1202 DigTeknPer. DigSuunn 4 op (s1) 5 op (s1) TKT-1400 ASIC I 5op(s1) tai ELE1010 TKT-1220 Aritmetiikka 4 op (k3) TKT-1230 Laboratorio 3 op (k4) TKT-1410 SuunnVarm 5 op (k3) TKT-1527 DigSysDesIss. 5op(k3) TKT-2526 Project work 5-8 op TKT-1110 Mikroprosess. 5 op (k3) tai ELE-2300 TKT-3200 Tietokonetekn. I 5 op (s1) TKT-3400 Tietokonetekn. II 5 op (k3) TKT-3500 Mikrokontroll. 5 op (s1) TKT-3526 Proc. Design 5 op (k3) TKT-9626/9636 Seminar 3-6 op TKT-1540/1550 DI-työ semin. 1+0 op TKT-1570 Kandityösemin. 8op TKT-2301 TKT-2456 TKT-9646 Lang. sens.v Wireless.sen Colloqium sov. 5 op p( (s1) s. 5 op p( (k3) 3op pakollinen Esitietoksi käy TKT-1202 tai TKT-1212 suositeltava Tarkista eksaktit esitietovaatimukset opinto-oppaasta. TKT-2530 SatellPaikann 5 op (s1) TKT-9617 ScientificPubl 6 op (s1) TKT-2566 GNSS. 5 op (k3) TKT-2556 Inertial nav. 5 op (k4)

32 Summary Increasingly complex systems need new methodologies Hierarchical, gradual refinement Reuse evertyhing you can. Pay attention that your own work is reusable Accessible, easy to start with, well commented, tool-independent Invest in executable specifications Divergence to two types of SoCs: High-performance & Lowpower Several advances and active research required in order to keep on pushing the technology in its limits Parallel processing seems the best way to increase performance New methodologies for SW programmers need to be adapted Currently, tool support for parallelization is weak 32

33 Course summary Most important things you must know (V)HDL basics: entity, architecture, process, signal, data types What happens in simulation and synthesis Testbench and reuse concepts Clocking synchronization Supplementary knowledge: FPGA technology and project management You made a great progress during the exercises! From simulated 1-b adder to an audio synthesizer on FPGA Please fill in the official course feedback in Kaiku You can have 1 A4 sheet of your own notes in the exam See you on the other TKT-courses! Thank you for your interest 33

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor International Technology Roadmap for Semiconductors Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc - FormFactor Who are we? Why a roadmap? What is the purpose? Example Trends How can you

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor International Technology Roadmap for Semiconductors Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor Who are we? Why a roadmap? What is the purpose? Example Trends How

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Analog front-end electronics in beam instrumentation

Analog front-end electronics in beam instrumentation Analog front-end electronics in beam instrumentation Basic instrumentation structure Silicon state of art Sampling state of art Instrumentation trend Comments and example on BPM Future Beam Position Instrumentation

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Approximating Computation and Data for Energy Efficiency

Approximating Computation and Data for Energy Efficiency Approximating Computation and Data for Energy Efficiency Daniele Jahier Pagliari EDA Group Politecnico di Torino Torino, Italy 1st IWES September 20th, 2016, Pisa, Italy Outline Error Tolerance and Approximate

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Formal Hardware Verification: Theory Meets Practice

Formal Hardware Verification: Theory Meets Practice Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1 Quiz 1 Small Numbers Order the following

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Evaluation of the Masked Logic Style MDPL on a Prototype Chip

Evaluation of the Masked Logic Style MDPL on a Prototype Chip Evaluation of the Masked Logic Style MDPL on a Prototype Chip Thomas Popp, Mario Kirschbaum, Thomas Zefferer Graz University of Technology Institute for Applied Information Processing and Communications

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Lecture 1: Introduction Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) Course Contents Implementation of high-performance digital

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Two years ago, our report titled 2001

Two years ago, our report titled 2001 COVER FEATURE 2003 Technology Roadmap for Semiconductors This update to the 2001 ITRS Roadmap shows the industry shifting its focus toward systems on chip, wireless computing, and mobile applications.

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

CPE/EE 427, CPE 527 VLSI Design I L01: Introduction, Design Metrics. What is this course all about?

CPE/EE 427, CPE 527 VLSI Design I L01: Introduction, Design Metrics. What is this course all about? CPE/EE 427, CPE 527 VLSI Design I L01: Introduction, Design Metrics Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-05f What is this course all about? Introduction to

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Agenda. Digital Today Smart Power Management Tomorrow. Brief History of transistor development. Analog PWM controllers. CMOS Historical perspective

Agenda. Digital Today Smart Power Management Tomorrow. Brief History of transistor development. Analog PWM controllers. CMOS Historical perspective 6-Sep-06 Digital Today Smart Power Management Tomorrow September 2006 Stephen Pullen Vice President System Engineering Primarion Corporation Agenda o o o o o o Brief History of transistor development Analog

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS 1 MADHUR KULSHRESTHA, 2 VIPIN KUMAR GUPTA 1 M. Tech. Scholar, Department of Electronics & Communication Engineering, Suresh Gyan

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities

FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities Shep Siegel Atomic Rules LLC 1 Agenda Pre-History: Our Future from our Past How Specialization Changed Us Why Research Matters

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information