RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

Size: px
Start display at page:

Download "RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE"

Transcription

1 RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that keynote he made two key points. All of the members of the Semiconductor Infrastructure have their individual responsibilities (see Figure 1). They are all being measured by Moore s Law. Figure 1 - The Semiconductor Infrastructure The Semiconductor Infrastructure Computer Resources Embedded Software EDA VC Funding Design Methodology The Semiconductor Cycle Educational System Process R&D Semiconductor Manufacturing Equipment Foundry Packaging & Assembly Source: Gary Smith EDA June 2011 Electronic Design Automation If you look at EDA you can say it has a spotty record over the last two decades. First of all we need to define their responsibility. EDA is Responsible for developing design tools that enable the IC design process. If you look at it from the semiconductor side you can say that designers have been able to tape out designs at each new semiconductor node when first introduced. That indicates that the EDA industry has been successful in meeting their responsibilities. But if you look a little closer you ll find that these initial designs use in-house developed tools to solve many of the challenges faced with using the latest semiconductor node. Now the EDA Industry is getting better. EDA vendors are now producing a high percentage of the PAGE 1

2 tools needed, but still they typically don t have a complete set of tools until a year after the node is introduced. That doesn t sound too bad unless you understand that the new tools need to be available at least a year before the node goes into production, in order for the design engineers to tape out, in time to go into production when the new node is available. That is why you can say that EDA has a spotty record. They are getting better but still only the Power Users, with CAD organizations, can take advantage of the state-of-the-art processes. In the last ten years the design world has come across a new problem, the cost of design is starting to have an impact on the entire Semiconductor Infrastructure. The Cost of Design In 2002 the cost of design passed a critical point on the cost curve. At that time the cost of design passed the $25,000,000 point (see Figure 2). That impacted the Venture Capital community. When looking at new semiconductor start-up the general rule of thumb is that a $25,000,000 investment is about all you can fund in order to get the required pay-back. The result funding dropped and the number of start-ups declined. Figure 2 The ITRS Cost Chart 2010 ITRS Cost Chart 2010 ($Ms) $120 $100 $80 $60 $40 $20 $0 IC Implementa on Tool set RTL Func onal Verif. Tool Suite Transac on Level Modeling Very Large Block Reuse SMP Parallel Processing Intelligent Testbench So ware Virtual Prototype Silicon Virtual Prototype AMP Parallel Processing Many Core Devel. Tools Concurrent Memory System Design Automa on Executable Specifica on Total HW Engineering Costs EDA Tool Costs Total SW Engineering Costs ESA Tool Costs Green: Start-Ups stop Red: most SoC design stops Post CMOS - Source: ITRS December 2010 (modified by Gary Smith EDA) PAGE 2

3 Unfortunately it gets worse. In 2008 design costs passed the $50,000,000 mark. At that point we started to see even the large IDMs cut back on their design starts. This of course impacts the entire semiconductor infrastructure including the EDA industry. The Great Recession was blamed for the downturn but a major factor was that the overall semiconductor infrastructure was in trouble. What is EDA s Responsibility? That brings up the definition of EDA s responsibility to the semiconductor infrastructure. Is it EDA is Responsible for developing design tools that enable the IC design process. Or is it EDA is Responsible for developing design tools that enable the IC design process, at a design cost that allows the ecosystem to operate at a profit? It s not the cost of tools that is causing the problem. The key factor in design cost is the number of engineers and the length of the design cycle. So the problem is in the level of automation and the performance of the design tools. In fact the cost of the tools really needs to increase to allow the EDA vendors to fund the R&D necessary to keep up with Moore s Law. A Look at a Design Team It is not uncommon for SoC design teams to range from 100 to 200 hardware design engineers. It s also not uncommon for design cycles to stretch out to 18 months or more. Both are an indication of a design project that is out of control. The ITRS (History of the ITRS blog) surveys their members and reports the costs for an average high end SoC design. In order to determine cost you need to know design time, cost of engineering, and their tools, and the size and complexity of the design. The target for a SoC design time is nine months to a year. Today it s not uncommon for the designs to take thirteen months, however the ITRS has chosen to use a twelve month design cycle for their calculations. They do not include derivative designs in the calculations. Derivative designs are tweaks of an already existing design which typically takes three to four months. PAGE 3

4 This year the results show that a design team, for a 104 million gate design, contained 30 hardware engineers at a cost of $18.7 million and 160 software engineers at $56.4 million. This gives you a total cost of $75.1 million, way over the $50 million dollar maximum target. It s easy for the hardware design world to say that the software design costs are killing us, and they are; however that s a topic for another paper. Let s concentrate on the hardware design problem. It is encouraging that the EDA vendors are starting to provide solutions to the software automation problem also. The software engineers can use all the help they can get. How Should R&D Solve the HW Cost Problem First the EDA vendors need to understand the problem. One of the key issues is that many R&D engineers don t understand how their tools are being used. That is one of the advantages that EDA start-ups have had over the larger vendors. They must have an intimate relationship with their customer s design team to survive. There are five basic parameters that the R&D groups need to know about a design. The number of blocks in a design. The amount of reuse in a design. The size of the blocks. The number of engineers per block. How many gates must an EDA tool handle to support these parameters? The Number of Blocks The ideal number of blocks is five. Usually it ends up to be more like 35. Anything over that slows down the design significantly, which drives up the cost. That usually means your design must be hierarchical. The Amount of Reuse That brings up the topic of reuse. Today s designs are based on previous designs or use an already existing platform to form a base for the design. These platforms are either developed in-house or are purchased from a semiconductor vendor. The ideal here is to only have to design ten to twenty present of the SoC from scratch. And even then the design engineers often use predesigned IP blocks in their new block s design. Unfortunately the average reuse is now around seventy percent of the SoC. Still in today s multimillion gate design reuse is the major driver of design productivity. PAGE 4

5 Block Size is Important 1. In a 100 million gate design 90% reuse gives you five 2 million gate blocks. 2. At 80% reuse that goes up to five 4 million gate blocks. 3. That s six engineers verses twelve engineers. 4. If you add a six engineer integration team. 5. Then you double those numbers, by adding the required verification engineers. 6. That gives you twenty four engineers verses thirty six engineers. 7. That s the difference from meeting your design cost budget or exceeding your budget. How many gates Should an EDA tool Handle? In this test case a design engineer needs a tool that can handle (in an acceptable length of time) at least four million gates. In reality a design engineer wants a tool that can work on the entire new block, twenty million gates. But this is for an average high end design. Keep in mind the EDA vendors should be enabling a design engineer to use all of the available silicon within the required cost constraints (see Figure 3). Figure 3 Max Gates per Silicon Node Millions of Gates Let s do the Math Using Max Gates per node Source: ITRS December 2010 and Gary Smith EDA PAGE 5

6 So at the 32 nm node, which is where most high end designs are today, we need tools that can handle 44 million gates at a time. And at 22 nm, where designs are going next, they would need to handle 88 million gates. Are we even close? The Future of the Semiconductor Infrastructure As you can see the EDA industry has a lot of work to do before the health of the Semiconductor Infrastructure can be restored. There are a few things the Design community needs to consider as they address their next design. First of all is design efficiency. How does your design methodology measure up to your competition? If you are throwing engineers at the problem and going to the lowest cost countries to keep your costs down, you are going in the wrong direction. Off-shoring builds in communications inefficiencies that often outweigh the cost of engineers. Design automation is the key to low cost design. Second if you pride yourself on the cost of your design tools you are in trouble. Design tools are lunch money compared to the cost of your engineering resources. Surveys have shown that the lowest cost for an extra week of design time to be $157,000. That was an old survey. Today you can expect your one week cost to be in the millions of dollars. You can buy a lot of EDA tools for a million bucks. Keep in mind the lower your price the sooner the lack of EDA R&D funding will be impacting your future. And third is the concept of design efficiency. Many companies focus on design tool integration. What they fail to understand is that a key component of design efficiency is tool performance. One sub-optimal tool can easily cause a tightly integrated flow to be noncompetitive, especially if it is one of the major tools in the chain. One start up introduced a timing analyzer that was ten to thirty times faster than the major EDA vendors timing engine. As timing analysis is used throughout the design flow that improvement quickly made up for any integration efficiencies. An investment in a CAD Group is easily made up by your increase in design productivity. There are many other examples which point out the advantages of not limiting yourself to a one vendor flow. It also points out the importance of EDA start-ups in design tool innovation. PAGE 6

7 In Conclusion There is a lot of work to be done to restore health to the Semiconductor Infrastructure. The ITRS Cost Chart predicts that it will take until 2021 until we see significant improvement. The actions of the EDA vendors and the design community, over the next ten years, will determine the size of the Semiconductor Infrastructure going into the next decade. We can change the rate of automation improvement, and therefore the cost of design, but we can t do it without a major increase in EDA s R&D. GARY SMITH PHONE +1 (408) FAX +1 (408) Gary Smith EDA. All Rights Reserved. gary@garysmitheda.com PAGE 7

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

Japan s business system has changed significantly since 2000, shifting toward

Japan s business system has changed significantly since 2000, shifting toward 1 Continuity and Change in Japan s Ecosystem for Venture-Capital backed Start-up Companies: Encouraging the Creation of Firms to Stimulate Economic Growth and Jobs Japan s business system has changed significantly

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

Virtual Prototyping - For Real Success

Virtual Prototyping - For Real Success Virtual Prototyping - For Real Success Thilo Hentschke Mentor Graphics This Is the Electronics Age. Electronics are at the core of everything. And PCB design is the essential foundation for all of it.

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS

A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS JED Hurwitz CTO & VP Engineering, Gigle Semiconductor 14 May 2008 CONTENTS OF TALK Who am I Aspects of a successful

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

Hundred Thousands. Practice to review I can read and write numbers through 999,999! Practice to remember HW 1.2A. Chapter 1 Place Value.

Hundred Thousands. Practice to review I can read and write numbers through 999,999! Practice to remember HW 1.2A. Chapter 1 Place Value. Hundred Thousands Practice to review I can read and write numbers through 999,999! I can write the number in the place value chart in more than one way. Standard Form: HW 1.2A Short Word Form: Word Form:

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

5G R&D at Huawei: An Insider Look

5G R&D at Huawei: An Insider Look 5G R&D at Huawei: An Insider Look Accelerating the move from theory to engineering practice with MATLAB and Simulink Huawei is the largest networking and telecommunications equipment and services corporation

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

National Instruments Accelerating Innovation and Discovery

National Instruments Accelerating Innovation and Discovery National Instruments Accelerating Innovation and Discovery There s a way to do it better. Find it. Thomas Edison Engineers and scientists have the power to help meet the biggest challenges our planet faces

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

Automated Test Summit 2005 Keynote

Automated Test Summit 2005 Keynote 1 Automated Test Summit 2005 Keynote Trends and Techniques Across the Development Cycle Welcome to the Automated Test Summit 2005. Thank you all for joining us. We have a very exciting day full of great

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Power Management Semiconductors: A Preliminary Look

Power Management Semiconductors: A Preliminary Look Market Analysis Power Management Semiconductors: A Preliminary Look Abstract: As a key enabler of electronics, power management semiconductors remain fragmented. Benefit from a look into the preliminary

More information

2019 Marketing Planning Guide

2019 Marketing Planning Guide 2019 Marketing Planning Guide As the end of 2018 is beginning to approach, many businesses are starting to look ahead and plan for 2019. What marketing initiatives will you use during the coming year?

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

The Foundry Model is Coming to Molecular Diagnostics, Courtesy of the Semiconductor Industry.

The Foundry Model is Coming to Molecular Diagnostics, Courtesy of the Semiconductor Industry. The Foundry Model is Coming to Molecular Diagnostics, Courtesy of the Semiconductor Industry. By Wayne Woodard Executive Synopsis In 1981, in a lab on the campus of the University of Southern California,

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

2018 ASSESS Update. Analysis, Simulation and Systems Engineering Software Strategies

2018 ASSESS Update. Analysis, Simulation and Systems Engineering Software Strategies 2018 ASSESS Update Analysis, Simulation and Systems Engineering Software Strategies The ASSESS Initiative The ASSESS Initiative was formed to bring together key players to guide and influence strategies

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Silicon Valley Venture Capital Survey Second Quarter 2018

Silicon Valley Venture Capital Survey Second Quarter 2018 fenwick & west Silicon Valley Venture Capital Survey Second Quarter 2018 Full Analysis Silicon Valley Venture Capital Survey Second Quarter 2018 fenwick & west Full Analysis Cynthia Clarfield Hess, Mark

More information

LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE. Albuquerque December 6, 2011 Phoenix December 8, Register for FREE

LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE. Albuquerque December 6, 2011 Phoenix December 8, Register for FREE LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE Albuquerque December 6, 2011 Phoenix December 8, 2011 Register for FREE Today @ www.rtecc.com welcome to RTECC DIRECTLY CONNECTING YOU AND THE NEW ERA OF

More information

5 Big Ways IT Outsourcing Can Boost Your Company's Productivity

5 Big Ways IT Outsourcing Can Boost Your Company's Productivity 5 Big Ways IT Outsourcing Can Boost Your Company's Productivity And Save You Time, Money And Headaches How do you know it s time to outsource? It all boils down to business growth Gain the focus to grow

More information

Unit 1: You and Your Money

Unit 1: You and Your Money Unit 1: You and Your Money Vocabulary a coin (some coins) change a penny (pennies) a nickel (nickels) a dime (dimes) a quarter (quarters) a half dollar (half dollars) a dollar bill (dollar bills) a check

More information

KEY ECONOMIC CONCEPTS ILLUSTRATED IN THIS DOCUMENTARY

KEY ECONOMIC CONCEPTS ILLUSTRATED IN THIS DOCUMENTARY LIGHTHOUSE CPA SOCIAL SCIENCES DEPARTMENT ECONOMICS VIDEO STUDY GUIDE : DOWNLOAD - THE TRUE STORY OF THE INTERNET PART 1 > BROWSER WAR KEY ECONOMIC CONCEPTS ILLUSTRATED IN THIS DOCUMENTARY 1. MONOPOLY

More information

Dassault Systèmes in High-Tech

Dassault Systèmes in High-Tech Dassault Systèmes in High-Tech London September 3 rd, 2014 Olivier RIBET Vice-President, High Tech Industry 1 High-Tech: Driver of Innovation across Industries Connect Product, Nature & Life is the challenge

More information

Shared Context Is A Force Multiplier

Shared Context Is A Force Multiplier C.A.D. Initiatives Specification Gap: e.g., What will be the critical design problem? GTX GTX models include canned optimizations = canned design space explorations Development and Delivery Gap: e.g.,

More information

Israel Venture Capital Investments Report Q3 2017

Israel Venture Capital Investments Report Q3 2017 Israel Venture Capital Investments Report Q3 2017 NOVEMBER 2017 Summary of Israeli Venture Capital Raising Q3/2017 +14% from Q2/2017 Israeli high-tech capital raising summed up to $1.44B @ ALL RIGHTS RESERVED.

More information

Analog front-end electronics in beam instrumentation

Analog front-end electronics in beam instrumentation Analog front-end electronics in beam instrumentation Basic instrumentation structure Silicon state of art Sampling state of art Instrumentation trend Comments and example on BPM Future Beam Position Instrumentation

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

DoD Electronics Priorities

DoD Electronics Priorities DoD Electronics Priorities Kristen Baldwin Acting Deputy Assistant Secretary of Defense for Systems Engineering Kickoff Meeting Arlington, VA January 18, 2018 Jan 18, 2018 Page-1 Elements of a Strategy

More information

5 Big Ways IT Outsourcing Can Boost Your Company's Productivity

5 Big Ways IT Outsourcing Can Boost Your Company's Productivity 5 Big Ways IT Outsourcing Can Boost Your Company's Productivity And Save You Time, Money And Headaches How do you know it s time to outsource? It all boils down to business growth Gain the focus to grow

More information

Myth Bookkeeper SAMPLE MICHAEL E. GERBER. Why Most Bookkeeping Practices Don t Work and What to Do About It CHAPTER

Myth Bookkeeper SAMPLE MICHAEL E. GERBER. Why Most Bookkeeping Practices Don t Work and What to Do About It CHAPTER From the Best-Selling Author of The E-Myth SAMPLE CHAPTER Myth Bookkeeper Why Most Bookkeeping Practices Don t Work and What to Do About It MICHAEL E. GERBER C h a p t e r 6 The Bookkeeping Business Journey

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Grade 6 Math. Numeracy: Text Chapter 2

Grade 6 Math. Numeracy: Text Chapter 2 Grade 6 Math Numeracy: Text Chapter 2 Standard Form All numbers with spaces between periods (groups of 3 starting at place value 1) Large whole numbers are arranged in groups of three digits called periods.

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

setting financial goals GETTING STARTED

setting financial goals GETTING STARTED setting financial goals GETTING STARTED If ever there was a million dollar question it would be, what is the key to financial success? Though there are probably several ways to answer this question, the

More information

Technologies Worth Watching. Case Study: Investigating Innovation Leader s

Technologies Worth Watching. Case Study: Investigating Innovation Leader s Case Study: Investigating Innovation Leader s Technologies Worth Watching 08-2017 Mergeflow AG Effnerstrasse 39a 81925 München Germany www.mergeflow.com 2 About Mergeflow What We Do Our innovation analytics

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Trends in Functional Verification: A 2014 Industry Study

Trends in Functional Verification: A 2014 Industry Study Trends in Functional Verification: A 2014 Industry Study Harry D. Foster Mentor Graphics Corporation Wilsonville, Or Harry_Foster@mentor.com ABSTRACT Technical publications often make either subjective

More information

MEDEA+ and Embedded Systems

MEDEA+ and Embedded Systems MEDEA+ and Embedded Systems ARTEMIS Annual Conference 2005 Paris Σ! 2365 Jürgen Deutrich Vice Chaiman of the Board MEDEA+ Applications ARTEMIS ANNUAL CONFERENCE 2005 1. About MEDEA+ 2. MEDEA+ Projects

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

100 Day Program Week 11 Curriculum

100 Day Program Week 11 Curriculum 100 Day Program Week 11 Curriculum KEY LESSONS LEARNED In order to build your personal wealth and business revenues isn t about what you do but how you do it and WHO you are. Some people think I m in the

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:30 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

INTEGRATED DESIGN & TEST

INTEGRATED DESIGN & TEST National Instruments PCB Austin 2008 INTEGRATED DESIGN & TEST Vincent Accardi General Manager National Instrument Electronics Workbench Group ni.com/multisim 1 National Instruments Electronics Workbench

More information

Pillar #1 Productivity

Pillar #1 Productivity These 7 Pillars are the the foundation of living The Virtual CEO Lifestyle. Once you put them in place, you learn about the power of leverage, the power of multiplication, and the power of building something

More information

3Q03 Silicon Wafer Update: Demand Continues Recovery

3Q03 Silicon Wafer Update: Demand Continues Recovery Gartner Dataquest Alert 3Q03 Silicon Wafer Update: Demand Continues Recovery The latest silicon forecast indicates that silicon wafer demand will grow 10 percent in 2003 over the previous year. This is

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

PREPARATORY ACTION ON DEFENCE RESEARCH

PREPARATORY ACTION ON DEFENCE RESEARCH PREPARATORY ACTION ON DEFENCE RESEARCH SESSION Electronic Design Technologies for Defence Applications INFODAY AND BROKERAGE EVENT 12 APRIL 2018 PREPARATORY ACTION ON DEFENCE RESEARCH Call Text presentation

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

Financing Options and Exit Strategies

Financing Options and Exit Strategies Financing Options and Exit Strategies for Technology Companies UBC Sauder School of Business Entrepreneurial Finance and Pi Private Equity Course May 7, 2009 Basil Peters Introduction BCIT Diploma of Technology,

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Math Matters: Why Do I Need To Know This?

Math Matters: Why Do I Need To Know This? Math Matters: Why Do I Need To Know This? Bruce Kessler, Department of Mathematics Western Kentucky University Episode One 1 Introduction Hi, I m Bruce Kessler and welcome to Math Matters. This is a bold

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

A TECHNOLOGY-ENABLED NEW TRUST APPROACH

A TECHNOLOGY-ENABLED NEW TRUST APPROACH A TECHNOLOGY-ENABLED NEW TRUST APPROACH Dr. William Chappell Director, DARPA Microsystems Technology Office (MTO) The U.S. semiconductor landscape The U.S. military must have access to microelectronics

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Instructional Tools Math Pack: Money n2y Unique Learning System

Instructional Tools Math Pack: Money n2y Unique Learning System 5 5 1 1 5 1 1 1 1 1 1 1 1 1 1 1 5 5 1 1 15 5 5 5 15 20 5 5 5 5 5 20 25 5 5 5 5 5 25 25 5 25 30 30 25 5 35 35 25 5 40 40 25 5 45 45 25 5 50 50 25 25 60 60 25 25 70 75 25 25 25 25 25 75 80 25 25 25 25 25

More information

Doyle Chambers Questions, Concerns & Answers

Doyle Chambers Questions, Concerns & Answers Doyle Chambers Questions, Concerns & Answers Question - How many letters should we mail out? Answer - We recommend a minimum of 30 letters a day, but if your budget allows for more, you can reach your

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc.

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc. Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications Larry E. Kendrick, PhD The MathWorks, Inc. Senior Principle Technical Consultant Introduction What s MBD? Why do it? Make

More information

Infrastructure for Systematic Innovation Enterprise

Infrastructure for Systematic Innovation Enterprise Valeri Souchkov ICG www.xtriz.com This article discusses why automation still fails to increase innovative capabilities of organizations and proposes a systematic innovation infrastructure to improve innovation

More information

Copyright UCRP

Copyright UCRP www.candlestickreversalpattern.com Copyright UCRP Introduction I don t want this book to have dozens of unnecessary pages of material that would do you no good in order to impress you. That s why I m going

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Formal Hardware Verification: Theory Meets Practice

Formal Hardware Verification: Theory Meets Practice Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1 Quiz 1 Small Numbers Order the following

More information

The Optimum Exits Workshop Section 3 Financing Your Company

The Optimum Exits Workshop Section 3 Financing Your Company The Optimum Exits Workshop Section 3 Financing Your Company Acetech Vancouver, BC December 7, 2011 Basil Peters Financing is easy Exiting is hard First Exit Strategy, Then Finance This doesn t happen most

More information

The percentage of Series A rounds declined significantly, to 12% of all deals.

The percentage of Series A rounds declined significantly, to 12% of all deals. Silicon Valley Venture Capital Survey Fourth Quarter 2012 Barry Kramer and Michael Patrick Fenwick fenwick & west llp Background We analyzed the terms of venture financings for 116 companies headquartered

More information

Practical issues. Why Software Engineering in general? Practical issues. Examen: Schriftelijk examen (70%) Materiaal: artikelen

Practical issues. Why Software Engineering in general? Practical issues. Examen: Schriftelijk examen (70%) Materiaal: artikelen Practical issues Software engineering (2IP25) Prof.dr. Mark van den Brand Docent: Prof.dr. Mark van den Brand (m.g.j.v.d.brand@tue.nl), d@t HG5.59 59 Meer informatie over SE (2IP25): http://www.win.tue.nl/~mvdbrand/courses/se/0910/

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities

FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities Shep Siegel Atomic Rules LLC 1 Agenda Pre-History: Our Future from our Past How Specialization Changed Us Why Research Matters

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development

Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development Guiding Ideas, Basic Principles and Development Goals: 1. Guiding ideas: Implement plans and policies

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Leadership: Getting and Giving the Call for Action

Leadership: Getting and Giving the Call for Action Leadership: Getting and Giving the Call for Action Introduction In working with many different companies in all types of industries during the past year or so, I believe I ve noticed some new trends among

More information

Raising & Investing an SBIC Fund

Raising & Investing an SBIC Fund Raising & Investing an SBIC Fund pehub Webinar, November 2012 Brad Whitman Partner, Renovus Capital Overview of Renovus Capital SBIC Fund founded in January 2010 Received Greenlight in September 2010 Licensed

More information

LETTER FROM THE EXECUTIVE DIRECTOR FOREWORD BY JEFFREY KRAUSE

LETTER FROM THE EXECUTIVE DIRECTOR FOREWORD BY JEFFREY KRAUSE LETTER FROM THE EXECUTIVE DIRECTOR Automation is increasingly becoming part of our everyday lives, from self-adjusting thermostats to cars that parallel park themselves. 18 years ago, when Automation Alley

More information