Virtual Prototyping - For Real Success

Size: px
Start display at page:

Download "Virtual Prototyping - For Real Success"

Transcription

1 Virtual Prototyping - For Real Success Thilo Hentschke Mentor Graphics

2 This Is the Electronics Age. Electronics are at the core of everything. And PCB design is the essential foundation for all of it. 2

3 Electronics Industry Doubles in 15 Years $ Millions Approaching $2 trillion Source: VLSI Research, January

4 Systems is the Majority of Electronics $ Millions Systems Semiconductor Source: VLSI Research, January

5 Mentor Graphics is the technology leader Founded in 1981 Over 30 years of EDA history Revenues - ~$1,24 billion in 2014 Market Share ~22% of worldwide EDA market Second ranking in the EDA industry, fastest growing of the Big 3 EDA companies Mentor as Technology Leader: Over 30 R&D sites 30% re-invest into R&D 5500 employees Focusing on No 1 market positions 5

6 Mentor Graphics as market leader 1993 PCB Design Schematic Capture Verification Gate Simulation Other Tools Frameworks 1996 PCB Design Schematic Capture Verification Gate Simulation Coverification VHDL Simulation DFT ATPG Other Tools Frameworks IP Softcores 2001 PCB Design Schematic Capture PCB Layout PCB Signal Integrity Verification Emulation Coverification Mixed-Signal Sim. DFT ATPG IC Backend DRC/LVS RET Other Tools Graphical Capture Cable Harness 2005 PCB Design PCB Layout PCB Signal Integrity Verification Formal Analysis Coverification Mixed-Language Sim. Mixed-Signal Sim. DFT ATPG IC Front-End Graphical Capture ESL Synthesis IC Backend DRC/LVS RET Other Tools Cable Harness 2010 PCB Design PCB Layout PCB CAM PCB Signal Integrity PCB Power Integrity PCB Thermal Analysis Verification Formal Analysis Coverification Mixed-Signal Sim. Intelligent Testbench DFT ATPG BIST IC Front-End Graphical Capture ESL Synthesis IC Backend DRC/LVS RET DFM Other Tools Cable Harness 6

7 PCB is a key business for Mentor Graphics Altium 6% Others 11% Mentor Graphics 41% Ansoft 7% Zuken 17% Cadence Design Systems 18% 7 Mentor Graphics PCB market share worldwide 2013 Source: Gary Smith EDA 2014 Total Market 650mio (2013) Market is growing 7.5% YoY

8 Common challenges on PCBs today... Signal Integrity: Much faster board speeds Much faster switching time...lead to signal integrity problems Plus many more potential problems in other realms... EMC? Power Integrity? Heat on boards? 8

9 What's the problem then? 1. Risks of field failure 2. Product Quality 3. Lead to Costs 9

10 Risks Product failing in the field Product not working in field high failure rates Example: Signal Integrity/Power Integrity Voltage drops, excessive high current densities ICs getting no power, board failures, dielectric breakdown, fire High PDN impedance inadequate current delivery voltage ripple timing violations/false switching, bit errors Example: Thermal Effects Board failure due to inadequate cooling

11 Quality Reliability Product working as expected under all conditions Example: Electromagnetic interference EMI Adherence to standards Random behaviour, loss of data Example: Signal Integrity Random glitches, noisy signals, crosstalk Example: Thermal Effects

12 Costs Calling back products from market Product failures / Safety reasons Example from high-tech industry: In June 2000, an early production signal integrity problem forced a prominent microprocessor manufacturer to recall up to one million motherboards at a cost of over $100 million Damage to customer relationships and brand recognition Product failures, quality problems Increasing MTBF leading to higher service costs Redesign costs to improve products

13 1. Let the customers test it What can we do? 2. Rule of thumb plus measure&test 3. Simulation "virtual prototyping" 13

14 How are the nerds in the class doing it...? 14

15 So - Why simulation? 1. The early bird 2. Works by design 3. Costs 15

16 Advantage #1 The early bird finds all the errors! The rule of 10x... Think about Saving in hassle, work, and costs Finding errors at schematic design stage Predictable scheduling Time-to-market windows Opportunity costs - Improve product quality

17 Advantage #2 Right by Design - Get It Right the First Time Contrast to testing&rule of thumbs: Design / Layout as required for prod specs Determine constraints and rules for layout during concept Optimise PCB Form factor - size Layer count

18 Advantage #3 Let's look at the costs again! R&D costs Engineering hours for finding errors Engineering hours for design respins Lab costs (e.g. EMC lab), training costs Prototyping costs Physical costs of prototype Prototype set-up and test time Opportunity costs - Earlier time-to-market&improved quality

19 19 How do we help?

20 HyperLynx PCB Analysis and Verification HyperLynx provides complete PCB analysis Full range of virtual prototyping delivers As-planned design Signal integrity, power integrity, & EMI analysis provides fast & accurate results Integrated analog and mixed-signal simulation Board-level thermal analysis Full-wave 3D simulation Tightly integrated into and Scales to 3 rd -party PCB tools, modular set-up

21 Mentor Graphics Mechanical Analysis Solutions Mentor Graphics full range solutions Electronics and far beyond Electronics System Cooling General 3D CFD 1D System-level CFD simulation Thermal testing, characterization and measuring of ICs, LEDs, and assorted packages Adapt to your industry s challenges Aimed at the engineer, not the specialist

22 After today... Your sales&support contact: CADCAM Group Further questions on Mentor Graphics: Thilo Hentschke Further questions on the content of today s seminar: Steve Gascoigne steve_gascoigne@mentor.com Michael Kasperski michael_kasperski@mentor.com Olivier Arnaud olivier_arnaud@mentor.com Connect with us through - 22

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

EMC cases study. Antonio Ciccomancini Scogna, CST of America CST COMPUTER SIMULATION TECHNOLOGY

EMC cases study. Antonio Ciccomancini Scogna, CST of America CST COMPUTER SIMULATION TECHNOLOGY EMC cases study Antonio Ciccomancini Scogna, CST of America antonio.ciccomancini@cst.com Introduction Legal Compliance with EMC Standards without compliance products can not be released to the market Failure

More information

In this pdf file, you can see the most common 7 kinds of multilayer PCB configurations.

In this pdf file, you can see the most common 7 kinds of multilayer PCB configurations. 4-16 Layer PCB Stackup In this pdf file, you can see the most common 7 kinds of multilayer PCB configurations. There is really no limit to the number of layers that can be fabricated in a multilayer PCB.

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Stephen Plumb National Instruments

Stephen Plumb National Instruments RF and Microwave Test and Design Roadshow Cape Town and Midrand October 2014 Stephen Plumb National Instruments Our Mission We equip engineers and scientists with tools that accelerate productivity, innovation,

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

Co-Design Pain Points, Tooling Gaps, and Lessons from the Past

Co-Design Pain Points, Tooling Gaps, and Lessons from the Past Co-Design Pain Points, Tooling Gaps, and Lessons from the Past Andrew B. Kahng, UC San Diego CSE and ECE Depts. http://vlsicad.ucsd.edu/~abk ECTC Evening Panel, May 29, 2018 Pain Heterogeneous integration

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

Closing the loop part 1: Why use simulation tools for high speed signal channel design?

Closing the loop part 1: Why use simulation tools for high speed signal channel design? Closing the loop part 1: Why use simulation tools for high speed signal channel design? Riccardo Giacometti Application Engineer Agilent EEsof EDA Page 1 High Speed Digital Design Flow Pre-Layout w/channel

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Electronics Putting Internet into Things. JP Morgan. 1 April 2015 Sam Weiss Chairman

Electronics Putting Internet into Things. JP Morgan. 1 April 2015 Sam Weiss Chairman Electronics Putting Internet into Things JP Morgan 1 April 2015 Sam Weiss Chairman Introduction Disclaimer This presentation has been prepared by Altium Limited (ACN 009 568 772) and is for information

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Coping with

More information

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling.

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling. X2Y Heatsink EMI Reduction Solution Summary Many OEM s have EMI problems caused by fast switching gates of IC devices. For end products sold to consumers, products must meet FCC Class B regulations for

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

Circuit Simulators: a Revolutionary E-Learning Platform

Circuit Simulators: a Revolutionary E-Learning Platform Circuit Simulators: a Revolutionary E-Learning Platform Mahi Itagi 1 Padre Conceicao College of Engineering, India 1 itagimahi@gmail.com Akhil Deshpande 2 Gogte Institute of Technology, India 2 deshpande_akhil@yahoo.com

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

Shielding. Fig. 6.1: Using a Steel Paint Can

Shielding. Fig. 6.1: Using a Steel Paint Can Analysis and Measurement of Intrinsic Noise in Op Amp Circuits Part VI: Noise Measurement Examples by Art Kay, Senior Applications Engineer, Texas Instruments Incorporated In Part IV we introduced the

More information

S imulation S uper P owers

S imulation S uper P owers S imulation S uper P owers Would you rather be a fire fighter or a superhero? T he answer is obvious: superhero. It s great to be able to put out a fire on a project when it starts, but it is even better

More information

High-Performance Electronic Design: Predicting Electromagnetic Interference

High-Performance Electronic Design: Predicting Electromagnetic Interference White Paper High-Performance Electronic Design: In designing electronics in today s highly competitive markets, meeting requirements for electromagnetic compatibility (EMC) presents a major risk factor,

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Modeling Physical PCB Effects 5&

Modeling Physical PCB Effects 5& Abstract Getting logical designs to meet specifications is the first step in creating a manufacturable design. Getting the physical design to work is the next step. The physical effects of PCB materials,

More information

Visvesvaraya Technological University, Belagavi

Visvesvaraya Technological University, Belagavi Time Table for M.TECH. Examinations, June / July 2017 M. TECH. 2010 Scheme 2011 Scheme 2012 Scheme 2014 Scheme 2016 Scheme [CBCS] Semester I II III I II III I II III I II IV I II Time Date, Day 14/06/2017,

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

Design for EMI & ESD compliance DESIGN FOR EMI & ESD COMPLIANCE

Design for EMI & ESD compliance DESIGN FOR EMI & ESD COMPLIANCE DESIGN FOR EMI & ESD COMPLIANCE All of we know the causes & impacts of EMI & ESD on our boards & also on our final product. In this article, we will discuss some useful design procedures that can be followed

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Visualization in automotive product development workflow

Visualization in automotive product development workflow Visualization in automotive product development workflow Image courtesy of Lean Design GmbH Contents Common challenges...1 The value of visualization...2 Conceptual design...2 Detailed design...3 Technical

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

FOR SEMICONDUCTORS 2005 EDITION DESIGN

FOR SEMICONDUCTORS 2005 EDITION DESIGN INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION DESIGN THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Faster than a Speeding Bullet

Faster than a Speeding Bullet BEYOND DESIGN Faster than a Speeding Bullet by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA In a previous Beyond Design column, Transmission Lines, I mentioned that a transmission line does not carry

More information

SIGNAL INTEGRITY AND RADIATION LEVELS: Assessed using RF Simulation Tool Framework

SIGNAL INTEGRITY AND RADIATION LEVELS: Assessed using RF Simulation Tool Framework SIGNAL INTEGRITY AND RADIATION LEVELS: Assessed using RF Simulation Tool Framework Nitisha Manchanda Vasikaran P Viswanathan B www.lnttechservices.com Table of Contents Preface 03 Introduction 03 SI &

More information

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7 Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7 The aims of this project are to provide a degree of familiarity with the following: The potential of computer-aided design for

More information

Signal Integrity, Part 1 of 3

Signal Integrity, Part 1 of 3 by Barry Olney feature column BEYOND DESIGN Signal Integrity, Part 1 of 3 As system performance increases, the PCB designer s challenges become more complex. The impact of lower core voltages, high frequencies

More information

BIRD 74 - recap. April 7, Minor revisions Jan. 22, 2009

BIRD 74 - recap. April 7, Minor revisions Jan. 22, 2009 BIRD 74 - recap April 7, 2003 Minor revisions Jan. 22, 2009 Please direct comments, questions to the author listed below: Guy de Burgh, EM Integrity mail to: gdeburgh@nc.rr.com (919) 457-6050 Copyright

More information

HiPerClockS TM Application Note High Speed LVCMOS Driver Termination Design Guide

HiPerClockS TM Application Note High Speed LVCMOS Driver Termination Design Guide This application note provides general design guide for high speed LVCMOS driver termination. To handle high speed LVCMOS drivers, general rules for high-speed digital board design must be carefully followed.

More information

Integrated stackup design for PCB fabricators and OEM designers

Integrated stackup design for PCB fabricators and OEM designers Integrated stackup design for PCB fabricators and OEM designers Speedstack 2010 Speedstack 2010 Si Speedstack 2010 PCB Speedstack Coupon Generator Speedstack Speedflex Manual or automatic layer stackup

More information

DTMOS IV Efficiency Advantages of Superjunction Transistors. By Michael Piela, Toshiba Electronics Europe

DTMOS IV Efficiency Advantages of Superjunction Transistors. By Michael Piela, Toshiba Electronics Europe DTMOS IV Efficiency Advantages of Superjunction Transistors By Michael Piela, Toshiba Electronics Europe Summary Superjunction MOSFETs are able to deliver a combination of high conduction and switching

More information

Entry Level Assessment Blueprint Electronics Technology

Entry Level Assessment Blueprint Electronics Technology Blueprint Test Code: 4135 / Version: 01 Specific Competencies and Skills Tested in this Assessment: Safety Practices Demonstrate safe working procedures Explain the purpose of OSHA and how it promotes

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Common Impedance Coupling Effect on Video and Audio Circuitry. Prof. Bogdan Adamczyk Grand Valley State University

Common Impedance Coupling Effect on Video and Audio Circuitry. Prof. Bogdan Adamczyk Grand Valley State University Common Impedance Coupling Effect on Video and Audio Circuitry Prof. Bogdan Adamczyk rand Valley State University Outline 1. Signal ground (signal return path) 2. Objectives of grounding 3. Single- vs.

More information

W h i t e p a p e r. Authors. Engineer, E&SE - CoE, L&T Technology Services, Mysore. Engineer, E&SE - CoE, L&T Technology Services, Mysore

W h i t e p a p e r. Authors. Engineer, E&SE - CoE, L&T Technology Services, Mysore. Engineer, E&SE - CoE, L&T Technology Services, Mysore W h i t e p a p e r Preface This paper describes a novel method of assessing the possible factors affecting the performance of High Speed Digital Circuit Boards in terms of maintaining the Signal Integrity

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis

W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis Keysight Technologies Advanced Design System (ADS) W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis Data Sheet Composite EM technology delivers high-accuracy and

More information

Conducted EMI Simulation of Switched Mode Power Supply

Conducted EMI Simulation of Switched Mode Power Supply Conducted EMI Simulation of Switched Mode Power Supply Hongyu Li #1, David Pommerenke #2, Weifeng Pan #3, Shuai Xu *4, Huasheng Ren *5, Fantao Meng *6, Xinghai Zhang *7 # EMC Laboratory, Missouri University

More information

DEPARTMENT FOR CONTINUING EDUCATION

DEPARTMENT FOR CONTINUING EDUCATION DEPARTMENT FOR CONTINUING EDUCATION Reduce EMI Emissions for FREE! by Bruce Archambeault, Ph.D. (reprinted with permission from Bruce Archambeault) Bruce Archambeault presents two courses during the University

More information

Learning the Curve BEYOND DESIGN. by Barry Olney

Learning the Curve BEYOND DESIGN. by Barry Olney by Barry Olney coulmn BEYOND DESIGN Learning the Curve Currently, power integrity is just entering the mainstream market phase of the technology adoption life cycle. The early market is dominated by innovators

More information

To Boldly Do What Can t Be Done: Asynchronous Design for All. Kenneth S. Stevens University of Utah

To Boldly Do What Can t Be Done: Asynchronous Design for All. Kenneth S. Stevens University of Utah To Boldly Do What Can t Be Done: Asynchronous Design for All Kenneth S. Stevens University of Utah 1 Scaling Moore s Law transistor counts double every one to two years Cost has followed inverse trend

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

ITG Electronics, Inc.

ITG Electronics, Inc. Mitigating EMI Problems & Filter Selection By Rafik Stepanian EMI Noise Generators A change of state (On/Off ) in an Electronic component has the potential to generate EMI. Typical examples are Electronic

More information

INTEGRATED DESIGN & TEST

INTEGRATED DESIGN & TEST National Instruments PCB Austin 2008 INTEGRATED DESIGN & TEST Vincent Accardi General Manager National Instrument Electronics Workbench Group ni.com/multisim 1 National Instruments Electronics Workbench

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

DesignCon Noise Injection for Design Analysis and Debugging

DesignCon Noise Injection for Design Analysis and Debugging DesignCon 2009 Noise Injection for Design Analysis and Debugging Douglas C. Smith, D. C. Smith Consultants [Email: doug@dsmith.org, Tel: 408-356-4186] Copyright! 2009 Abstract Troubleshooting PCB and system

More information

GANOMIC. Disruptive technologies for PPU cost & volume efficiency

GANOMIC. Disruptive technologies for PPU cost & volume efficiency The project leading to this application has received funding from the European Union s Horizon 2020 research and innovation programme under grant agreement No 730038 GANOMIC Disruptive technologies for

More information

Debugging EMI Using a Digital Oscilloscope. Dave Rishavy Product Manager - Oscilloscopes

Debugging EMI Using a Digital Oscilloscope. Dave Rishavy Product Manager - Oscilloscopes Debugging EMI Using a Digital Oscilloscope Dave Rishavy Product Manager - Oscilloscopes 06/2009 Nov 2010 Fundamentals Scope Seminar of DSOs Signal Fidelity 1 1 1 Debugging EMI Using a Digital Oscilloscope

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

EIE 528 Power System Operation & Control(2 Units)

EIE 528 Power System Operation & Control(2 Units) EIE 528 Power System Operation & Control(2 Units) Department of Electrical and Information Engineering Covenant University 1. EIE528 1.1. EIE 528 Power System Operation & Control(2 Units) Overview of power

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools K.Sravya [1] M.Tech, VLSID Shri Vishnu Engineering College for Women, Bhimavaram, West

More information

High-Speed PCB Design und EMV Minimierung

High-Speed PCB Design und EMV Minimierung TRAINING Bei dem hier beschriebenen Training handelt es sich um ein Cadence Standard Training. Sie erhalten eine Dokumentation in englischer Sprache. Die Trainingssprache ist deutsch, falls nicht anders

More information

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation EMI/EMC of Entire Automotive Vehicles and Critical PCB s Makoto Suzuki Ansoft Corporation WT10_SI EMI/EMC of Entire Automotive Vehicles and Critical PCB s Akira Ohta, Toru Watanabe, Benson Wei Makoto Suzuki

More information

RF & Microwave Power Amplifiers

RF & Microwave Power Amplifiers RF & Microwave Power Amplifiers Spectrum Microwave, a world class leader in amplifier technology, is your full service partner for high performance power amplification requirements. Designed To Perform

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

ARTEMIS The Embedded Systems European Technology Platform

ARTEMIS The Embedded Systems European Technology Platform ARTEMIS The Embedded Systems European Technology Platform Technology Platforms : the concept Conditions A recipe for success Industry in the Lead Flexibility Transparency and clear rules of participation

More information

US Media Contact for Altium:

US Media Contact for Altium: Media Release US Media Contact for Altium: Emily Taylor Weber Shandwick Worldwide 519 SW 3 rd Avenue, Suite 600 Portland, OR 97204 United States www.webershandwick.com Telephone: 503-552-3733 Email: etaylor@webershandwick.com

More information

END EXAMINATION TIME TABLE OF II-B.TECH-I-SEM-R07-SUPPLE-NOV-DEC 2016 Examination Timings: A.M. To P.M.

END EXAMINATION TIME TABLE OF II-B.TECH-I-SEM-R07-SUPPLE-NOV-DEC 2016 Examination Timings: A.M. To P.M. JYOTHISHMATHI INSTITUTE OF TECHNOLOGY & SCIENCE KARIMNAGAR 505 481. DATE & DAY 21-11-2016 23-11-2016 25-11-2016 29-11-2016 01-12-2016 03-12-2016 (Saturday) END EXAMINATION TIME TABLE OF II-B.TECH-I-SEM-R07-SUPPLE-NOV-DEC

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Laboratory experiments and reports

Laboratory experiments and reports LABORATORY INSTRUCTION MANUAL Page 1 of 8 Laboratory experiments and reports Summary This document describes how to carry out experimental exercises, and how to prepare the lab reports for the Electronic

More information

SYLLABUS WEEKLY SCHEDULE III SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING II YEAR DEGREE COURSE

SYLLABUS WEEKLY SCHEDULE III SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING II YEAR DEGREE COURSE Vt Vt VEL TECH MULTI TECH Dr RANGARAJAN Dr.SAKUNTHALA ENGINEERING COLLEGE (An ISO 9001: 2008 Certified Institution) (Owned by Vel Trust) (Approved by Govt. of Tamil Nadu and affiliated to Anna University)

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Adjusting Signal Timing (Part 1)

Adjusting Signal Timing (Part 1) TECHNICAL PUBLICATION Adjusting Signal Timing (Part 1) Douglas Brooks, President UltraCAD Design, Inc. October 2003 www.mentor.com ABSTRACT It is becoming a routine requirement for PCB designers to tune

More information

Split Planes in Multilayer PCBs

Split Planes in Multilayer PCBs by Barry Olney coulmn BEYOND DESIGN Split Planes in Multilayer PCBs Creating split planes or isolated islands in the copper planes of multilayer PCBs at first seems like a good idea. Today s high-speed

More information

Multilayer PCB Stackup Planning

Multilayer PCB Stackup Planning by Barry Olney In-Circuit Design Pty Ltd Australia This Application Note details tried and proven techniques for planning high speed Multilayer PCB Stackup configurations. Planning the multilayer PCB stackup

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

Differential Pair Routing

Differential Pair Routing C O L U M N BEYOND DESIGN Differential Pair Routing by Barry Olney IN-CIRCUIT DESIGN PTY LTD, AUSTRALIA A differential pair is two complementary transmission lines that transfer equal and opposite signals

More information

IEEE Joint Section Reliability Chapter. Dramatic COTs Pro Active. Innovative PDCA Practice. Darryl J. McKenney Gene Bridgers 6/15/2011

IEEE Joint Section Reliability Chapter. Dramatic COTs Pro Active. Innovative PDCA Practice. Darryl J. McKenney Gene Bridgers 6/15/2011 IEEE Joint Section Reliability Chapter Dramatic COTs Pro Active Design Dfx traced to Innovative PDCA Practice Darryl J. McKenney Gene Bridgers 6/15/2011 2011 2011 Mercury Mercury Computer Systems, Systems,

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Henry Lau Lexiwave Technology, Inc

Henry Lau Lexiwave Technology, Inc RF PCB Design Henry Lau Lexiwave Technology, Inc December 4, 2012 1 AWR Corporation Overview & Introduction AWR - At a Glance The Innovation Leader in High-Frequency EDA Product Portfolio: Microwave Office

More information

A Novel Approach for EMI Design of Power Electronics

A Novel Approach for EMI Design of Power Electronics A Novel Approach for EMI Design of Power Electronics Bernd Stube 1 Bernd Schroeder 1 Eckart Hoene 2 Andre Lissner 2 1 Mentor Graphics Corporation, System Design Division, Berlin, Germany {Bernd_Stube,

More information

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Russ Kramer O.J. Danzy Simulation What is the Signal Integrity Challenge? Tx Rx Channel Asfiakhan Dreamstime.com - 3d People Communication

More information

EMC Simulation of Consumer Electronic Devices

EMC Simulation of Consumer Electronic Devices of Consumer Electronic Devices By Andreas Barchanski Describing a workflow for the EMC simulation of a wireless router, using techniques that can be applied to a wide range of consumer electronic devices.

More information

Electronics From Wikipedia, the free encyclopedia

Electronics From Wikipedia, the free encyclopedia Page 1 of 7 Electronics From Wikipedia, the free encyclopedia Electronics is the science of controlling electrical energy electrically, in which the electrons have a fundamental role. Electronics deals

More information

Powering Automotive Cockpit Electronics

Powering Automotive Cockpit Electronics White Paper Powering Automotive Cockpit Electronics Introduction The growth of automotive cockpit electronics has exploded over the past decade. Previously, self-contained systems such as steering, braking,

More information

Power Integrity Analysis for Jitter Characterization

Power Integrity Analysis for Jitter Characterization Power Integrity Analysis for Jitter Characterization Linson Thomas Department of Electronics and Communication Engineering National Institute of Technology Rourkela Power Integrity Analysis for Jitter

More information