Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Size: px
Start display at page:

Download "Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY"

Transcription

1 Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

2 Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys) Have built in the past (UC Berkeley, Cadence, Jasper) 15+ full-time model checking users Customers like NVIDIA, AMD, Cisco, Huawei, Synopsys, Xilinx Most projects are set up as milestone-based Milestones have to show value in a simulation-based plan Have to fit in with the chip schedule Predicting the user and tool run-times is a requirement Hope (a.k.a bug hunting ) is not a strategy OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED

3 Types of post-silicon flaws 60% Verification is the still the largest problem Responses 50% 40% 30% 20% % 0% OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED Wilson Research Group and Mentor Graphics 2010 Functional Verification Study. Used with permission.

4 Verification market size (2009)* Millions Gate-level Simulation Formal Formal ($38.3M) $0.4M Simulation ($401.8M) Gate-level formal (equivalence checking) Then (1993): Chrysalis; Now: Cadence, Synopsys RTL formal (model checking) RTL * excluding analog Source: Gary Smith EDA, October 2010 Then (1994): Averant, IBM; Now: Cadence, Jasper, Mentor, OneSpin, Synopsys OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED

5 Motivation: exponential rise in bug-fix cost $10M $1M $100k $10k $1k $100 Tapeout Block-level design Block-level verification Chip-level verification OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED ECO phase Silicon is back

6 A model checking testbench Constraints Checkers (Scoreboard) Design Under Test (DUT) Coverage (code and functional) Abstraction Models OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED 10/28/2012

7 Cloud applicability depends on what you check Internal assertions, automatic checks Relate RTL internals, embedded in RTL E.g. sm[7:0] is one-hot Internal assertions X-propagation, clock gating checks Many, usually easier RTL Interface assertions Relate I/Os on one interface E.g. valid-ack, AMBA AXI4 AXI4 AVIP Interface assertions DDR2 AVIP Fewer, harder End-to-end checkers Models end-to-end functionality End-to-End Checker Replaces simulation Often requires manual abstractions OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED 10/28/2012

8 Where is the bar (for end-to-end formal)? Formal has to be more cost-effective than the alternative Usually bounded proofs are good enough (if bound is good enough!) Need to commit to what can be verified (and not), up front Backed by Coverage (measurable and/or argumentative) OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED 10/28/2012

9 Am I done with model checking? (three C s) Is my list of Checkers complete? Are my Constraints not over-constrained? Is my Complexity strategy complete? (are my proof bounds good enough) Coverage is the missing link OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED

10 Verification manager s dashboard Coverage tracking Bug tracking Runtime status OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED 10/28/2012

11 Model checking with coverage Implement Checkers and Constraints Add Abstractions and/or fix Constraints Run formal verification and collect Coverage Are Coverage goals met? OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED Design is formally verified

12 Cloud can help in later stages Early stages (user intensive, not parallelizable) Building constraints Build abstractions Debugging first checker failures Building multiple checkers Later stages (machine intensive, parallelizable) Running daily/weekly regressions Formal code coverage Thousands to hundreds of thousands of targets Hybrid formal: search from tons of user-specified far states Validate proof depths are good enough 12 Block-level verification Chip-level verification OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED ECO phase Tapeout Silicon is back

13 Non-technical challenges with cloud Perceived IP risk VP Engineering more conservative than CFO or VP Sales People use SalesForce, CRMs, in same companies Legal responsibility (vendor, cloud host, customer?) Licensing model Time-based-licensing or Pay-per-use First solve the most capital-intensive problems Emulators, costing $1M++ Vendor solutions exist Synopsys VCS in Amazon cloud Private vs public cloud OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED

14 Opportunities with the cloud Access to design and verification environment from anywhere in the world Vendors and customers monitor usage, and build business efficient pay-per-use models Manage peak usage Possible to have flexible architecture plug-in any engines Exploit latest engine advances Lower barrier for proof engine performance feedback back to EDA developers Cloud will happen, don t know when (after emulation?) OSKI TECHNOLOGY, INC. ALL RIGHTS RESERVED

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

Introducing Functional Qualification

Introducing Functional Qualification Introducing Functional Qualification Certess Inc 2007 1 Table of contents Introduction _ 3 Functional verification quality 4 Mutation based testing _ 7 Certitude: Leading functional qualification 8 Bibliography

More information

Formal Hardware Verification: Theory Meets Practice

Formal Hardware Verification: Theory Meets Practice Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1 Quiz 1 Small Numbers Order the following

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Trends in Functional Verification: A 2014 Industry Study

Trends in Functional Verification: A 2014 Industry Study Trends in Functional Verification: A 2014 Industry Study Harry D. Foster Mentor Graphics Corporation Wilsonville, Or Harry_Foster@mentor.com ABSTRACT Technical publications often make either subjective

More information

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003

SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003 SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003 Morgan Chen E-mail: mjchen@ece.ucdavis.edu Department of Electrical and Computer Engineering, University of California at Davis, CA 95616

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

ERAU the FAA Research CEH Tools Qualification

ERAU the FAA Research CEH Tools Qualification ERAU the FAA Research 2007-2009 CEH Tools Qualification Contract DTFACT-07-C-00010 Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

Virtual Prototyping - For Real Success

Virtual Prototyping - For Real Success Virtual Prototyping - For Real Success Thilo Hentschke Mentor Graphics This Is the Electronics Age. Electronics are at the core of everything. And PCB design is the essential foundation for all of it.

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:30 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Ratheesh Mekkadan, Advanced Micro Devices, Inc., Bangalore, India (ratheesh.mekkadan@amd.com) Abstract The physical layer of the MIPI-camera

More information

Simulation + Emulation = Verification Success

Simulation + Emulation = Verification Success Simulation + Emulation = Verification Success If you haven t noticed it s the age of the SoC, though it wasn t always so. Consider the example of personal computing, an era quickly fading into history

More information

The future of formal model checking is NOW!

The future of formal model checking is NOW! The future of formal model checking is NOW! Leveraging formal methods for RAPID System On Chip verification Ram Narayan Hardware Advanced Development Oracle Labs Austin, Texas. U. S. of A. ram.narayan@oracle.com

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Design in the Late-Silicon Age

Design in the Late-Silicon Age Design in the Late-Silicon Age Jan M. Rabaey University of California @ Berkeley Director MARCO Gigascale System Research Center DUSD(Labs) History Proceeds along Ages 280M 12M Permian Triasic Jurassic

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy Analog-Digital Mixed-Signal Simulator Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Practical Concurrent ASIC and System Design and Verification

Practical Concurrent ASIC and System Design and Verification Practical Concurrent ASIC and System Design and Verification Ian Gibson and Chris Amies Canon Information Systems Research Australia PO Box 313, North Ryde, NSW 2113, AUSTRALIA gibbo@research.canon.com.au

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Lecture 1: Introduction Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) Course Contents Implementation of high-performance digital

More information

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION Shunan Mu, Guoqing Pan, Zhihao Tian and Jiancheng Feng Beijing Aerospace Measurement and Control Technology Co., LTD., Beijing,

More information

http://iec.iitd.ernet.in/ http://vdtt.iitd.ernet.in/ 2 VLSI Professionals of Tomorrow I am happy to introduce our graduating batch of VLSI M.Tech. students as they prepare themselves for the forthcoming

More information

The role of testing in verification and certification Kerstin Eder

The role of testing in verification and certification Kerstin Eder The role of testing in verification and certification Kerstin Eder Design Automation and Verification, Microelectronics [and Trustworthy Systems Laboratory] Verification and Validation for Safety in Robots,

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Using Digital Verification Techniques on Mixed-Signal SoCs with CustomSim and VCS

Using Digital Verification Techniques on Mixed-Signal SoCs with CustomSim and VCS White Paper Using igital Verification Techniques on Mixed-Signal SoCs with CustomSim and VCS March 2011 Authors raeme Nunn Calvatec Fabien elguste Adiel Khan Abhisek Verma Bradley eden Synopsys Abstract

More information

Two for One: Leveraging SerDes Flows for AMI Model Development

Two for One: Leveraging SerDes Flows for AMI Model Development TITLE Two for One: Leveraging SerDes Flows for AMI Model Development Todd Westerhoff, SiSoft Corey Mathis, MathWorks Image Authors: Corey Mathis, Ren Sang Nah (MathWorks) Richard Allred, Todd Westerhoff

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Verification and Validation for Safety in Robots Kerstin Eder

Verification and Validation for Safety in Robots Kerstin Eder Verification and Validation for Safety in Robots Kerstin Eder Design Automation and Verification Trustworthy Systems Laboratory Verification and Validation for Safety in Robots, Bristol Robotics Laboratory

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

Life Isn t Fair, So Use Formal by Roger Sabbagh, Mentor Graphics

Life Isn t Fair, So Use Formal by Roger Sabbagh, Mentor Graphics Life Isn t Fair, So Use Formal by Roger Sabbagh, Mentor Graphics Most things in life are not evenly distributed. Consider for example, the sun and the rain. The city of Portland, Oregon gets much more

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

DESIGN TECHNOLOGY FOR THE TRILLION-DEVICE FUTURE

DESIGN TECHNOLOGY FOR THE TRILLION-DEVICE FUTURE DESIGN TECHNOLOGY FOR THE TRILLION-DEVICE FUTURE Alberto Sangiovanni-Vincentelli The Edgar L. and Harold H. Buttner Chair of EECS, University of California at Berkeley The Emerging IT Scene! The Cloud!

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

ESE535: Electronic Design Automation. Previously. Today. Precedence. Conclude. Precedence Constrained

ESE535: Electronic Design Automation. Previously. Today. Precedence. Conclude. Precedence Constrained ESE535: Electronic Design Automation Day 5: January, 013 Scheduling Variants and Approaches Penn ESE535 Spring 013 -- DeHon 1 Previously Resources aren t free Share to reduce costs Schedule operations

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder Zhijie Chen, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology,

More information

Satellite Tuner Single Chip Simulation with Advanced Design System

Satellite Tuner Single Chip Simulation with Advanced Design System Turning RF IC technology into successful design Satellite Tuner Single Chip Simulation with Advanced Design System Cédric Pujol - Central R&D March 2002 STMicroelectronics Outline ❽ STMicroelectronics

More information

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions John Lee, Sr. Director, Amkor Technology, Inc. Mike Kelly, VP, Adv Package & Technology Integration, Amkor Technology, Inc. Abstract:

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates

Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Frank K. Gürkaynak, Kris Gaj, Beat Muheim, Ekawat Homsirikamol, Christoph Keller, Marcin Rogawski, Hubert Kaeslin, Jens-Peter

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES A. MOLINA and O. CADENAS Computer Architecture Department, Universitat Politècnica de Catalunya, Barcelona, Spain amolina@ac.upc.edu School of System

More information

Verification Futures The Next 5 Years

Verification Futures The Next 5 Years Verification Futures The Next 5 Years Tuesday, 15 th November 2011 Hilton Hotel, Reading The FREE conference will look at the challenges in verification and how these will change over the next 5 years,

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

FPGA Design Process Checklist

FPGA Design Process Checklist FPGA Design Process Checklist Martin Fraeman Pete Eisenreich JHU/APL Laurel, MD 9/6/04 MAPLD 2004 1 Checklist Motivation Develop a process to consistently design FPGAs for space applications Useful to

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Welcome to FPGAworld Conference 2018

Welcome to FPGAworld Conference 2018 Welcome to FPGAworld Conference 2018 Stockholm 18 September and Copenhagen 20 September The FPGAworld Conference is an international forum for researchers, engineers, teachers, students and hackers. It

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Analog Mixed-Signal Verification at SOC level: A practical approach for the use of Verilog-AMS vs. SPICE views

Analog Mixed-Signal Verification at SOC level: A practical approach for the use of Verilog-AMS vs. SPICE views Analog Mixed-Signal Verification at SOC level: A practical approach for the use of Verilog-AMS vs. SPICE views Gautham S Harinarayan, Senior Design Engineer (gautham@freescale.com) Nitin Pant, Lead Design

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

NRC Workshop on NASA s Modeling, Simulation, and Information Systems and Processing Technology

NRC Workshop on NASA s Modeling, Simulation, and Information Systems and Processing Technology NRC Workshop on NASA s Modeling, Simulation, and Information Systems and Processing Technology Bronson Messer Director of Science National Center for Computational Sciences & Senior R&D Staff Oak Ridge

More information

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis,

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, TM November 2012 Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, mobilegt, PowerQUICC, Processor Expert, QorIQ,

More information

Processors Processing Processors. The meta-lecture

Processors Processing Processors. The meta-lecture Simulators 5SIA0 Processors Processing Processors The meta-lecture Why Simulators? Your Friend Harm Why Simulators? Harm Loves Tractors Harm Why Simulators? The outside world Unfortunately for Harm you

More information

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools 1 White paper Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools The purpose of RTCA/DO-254 (referred to herein as DO-254 ) is to provide guidance for the development

More information

Keysight Technologies Understanding the SystemVue To ADS Simulation Bridge. Application Note

Keysight Technologies Understanding the SystemVue To ADS Simulation Bridge. Application Note Keysight Technologies Understanding the To Simulation Bridge Application Note Introduction The Keysight Technologies, Inc. is a new system-level design environment that enables a top-down, model-based

More information

Giovanni Squillero

Giovanni Squillero Giovanni Squillero giovanni.squillero@polito.it Copyright is held by the author/owner(s). GECCO 08, July 12 16, 2008, Atlanta, Georgia, USA. ACM 978-1-60558-131-6/08/07. Giovanni Squillero giovanni.squillero@polito.it

More information

CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time

CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time Jorgen Peddersen, Sri Parameswaran School of Computer Science and Engineering The University of New South Wales & National ICT Australia

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

24 Challenges in Deductive Software Verification

24 Challenges in Deductive Software Verification 24 Challenges in Deductive Software Verification Reiner Hähnle 1 and Marieke Huisman 2 1 Technische Universität Darmstadt, Germany, haehnle@cs.tu-darmstadt.de 2 University of Twente, Enschede, The Netherlands,

More information

Power Management in modern-day SoC

Power Management in modern-day SoC Power Management in modern-day SoC C.P. Ravikumar Texas Instruments, India C.P. Ravikumar, IIT Madras 1 Agenda o Motivation o Power Management in the Signal Chain o Low-Power Design Flow Technological

More information

VLSI. at IIT Delhi Placements Placement Brochure. Department of Electrical Engineering. Department of Computer Science and Engineering

VLSI. at IIT Delhi Placements Placement Brochure. Department of Electrical Engineering. Department of Computer Science and Engineering VLSI at IIT Delhi Placements 2009-10 http://web.iitd.ac.in/~ee/~iec/ http://web.iitd.ac.in/~vdtt/ Department of Electrical Engineering Department of Computer Science and Engineering Center for Applied

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Static Power Intent Verification of Power State Switching Expressions Srobona Mitra Senior R&D Engineer, Synopsys India Pvt. Ltd.

Static Power Intent Verification of Power State Switching Expressions Srobona Mitra Senior R&D Engineer, Synopsys India Pvt. Ltd. Static Power Intent Verification of Power State Switching Expressions Srobona Mitra Senior R&D Engineer, Synopsys India Pvt. Ltd. Co-authors: Bhaskar Pal, Soumen Ghosh, Rajarshi Mukherjee, Kaushik De 22

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates

Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Frank K. Gürkaynak, Kris Gaj, Beat Muheim, Ekawat Homsirikamol, Christoph Keller, Marcin Rogawski, Hubert Kaeslin, Jens-Peter

More information

Network Event Bulletin

Network Event Bulletin Network Event Bulletin FPGA Network Meeting : Moving Beyond RTL University of Hertfordshire, 21 st January 2015 The first FPGA Network meeting of the year was kindly hosted by the Engineering and Technology

More information

Building IBIS-AMI Models From Datasheet Specifications

Building IBIS-AMI Models From Datasheet Specifications TITLE Building IBIS-AMI Models From Datasheet Specifications Eugene Lim, (Intel of Canada) Donald Telian, (SiGuys Consulting) Image SPEAKERS Eugene K Lim Hardware Design Engineer, Intel Corporation eugene.k.lim@intel.com

More information

Recent Advances in Simulation Techniques and Tools

Recent Advances in Simulation Techniques and Tools Recent Advances in Simulation Techniques and Tools Yuyang Li, li.yuyang(at)wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download Abstract: Simulation refers to using specified kind

More information

EECS 140/240A Final Project spec, version 1 Spring 17. FINAL DESIGN due Monday, 5/1/2017 9am

EECS 140/240A Final Project spec, version 1 Spring 17. FINAL DESIGN due Monday, 5/1/2017 9am EECS 140/240A Final Project spec, version 1 Spring 17 FINAL DESIGN due Monday, 5/1/2017 9am 1 1.2 no layout? XC? Golden Bear Circuits is working on its next exciting circuit product. This is a mixedsignal

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

QUIZ. What do these bits represent?

QUIZ. What do these bits represent? QUIZ What do these bits represent? 1001 0110 1 QUIZ What do these bits represent? Unsigned integer: 1101 1110 Signed integer (2 s complement): Fraction: IBM 437 character: Latin-1 character: Huffman-compressed

More information

Top-Down Design of Mixed-Signal Circuits

Top-Down Design of Mixed-Signal Circuits Top-Down Design of Mixed-Signal Circuits Ken Kundert Cadence Design Systems, San Jose, California, USA Abstract With mixed-signal designs becoming more complex and time-to-market windows shrinking, designers

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information