Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates

Size: px
Start display at page:

Download "Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates"

Transcription

1 Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Frank K. Gürkaynak, Kris Gaj, Beat Muheim, Ekawat Homsirikamol, Christoph Keller, Marcin Rogawski, Hubert Kaeslin, Jens-Peter Kaps ETH - George Mason University March 2012

2 Motivation Present comparative ASIC performance results on all SHA-3 third round candidates Microelectronics Design Center 2 / 29

3 Motivation Present comparative ASIC performance results on all SHA-3 third round candidates In this work No claims about the cryptographic security Authors recommendations for SHA equivalent security have been followed Microelectronics Design Center 2 / 29

4 Two Groups, Two Different Approaches George Mason University Academic approach Optimized for maximum: Throughput per Area Taken VHDL codes from extensive architecture evaluations for FPGAs Microelectronics Design Center 3 / 29

5 Two Groups, Two Different Approaches George Mason University Academic approach Optimized for maximum: Throughput per Area Taken VHDL codes from extensive architecture evaluations for FPGAs ETH Quasi industrial approach Specific throughput target: Gbit/s Selected smallest design for the throughput Deliberately tried to increase architectural diversity Microelectronics Design Center 3 / 29

6 Background Timeline earlier GMU releases ATHENa, a database for FPGA results ETH publishes study on 2nd round candidates May 2011 Quo Vadis 2011 Wokshop in Warsaw Start of collaboration Jun 2011 Start of project Aug 2011 Common interface, all cores (ETH -GMU) compatible Oct 2011 Tape-out Dec 2011 Production problem with I/O transistors Feb 2012 Measured 5 ASICs from first batch Microelectronics Design Center 4 / 29

7 SHABZIGER: Our ASIC with all SHA-3 Candidates Techology UMCLL65nm Supply 1.2V VDD Metallization 8-Metal Package 56pin QFN56 Total Size 1.825mm x 1.825mm Area Unit 1 GE=1.44µm 2 Microelectronics Design Center 5 / 29

8 SHABZIGER: Our ASIC with all SHA-3 Candidates Techology UMCLL65nm Supply 1.2V VDD Metallization 8-Metal Package 56pin QFN56 Total Size 1.825mm x 1.825mm Area Unit 1 GE=1.44µm 2 Microelectronics Design Center 5 / 29

9 Main Problem EDA tools are designed for industry requirements Constraints for worst case conditions. Tools not designed for finding peak (faster/smaller) performance. Microelectronics Design Center 6 / 29

10 Main Problem EDA tools are designed for industry requirements Constraints for worst case conditions. Tools not designed for finding peak (faster/smaller) performance. In general, Academia is interested in limits Not easy to get fair numbers from industrial tools. Constraints are mis-used for exploration. Microelectronics Design Center 6 / 29

11 The Design Flow Specifications Architecture (GMU) Architecture (ETH ) RTL Description (VHDL) Constraints Synthesis (Synopsys DC) Place and Route (Cadence EDI) Synthesis (Synopsys DC) Wireload Model Place and Route (Cadence EDI) ASIC (UMC65nm) High Low Accuracy of Results Microelectronics Design Center 7 / 29

12 The Verification Flow Mentor Modelsim Control Select Alg/Mode Control LFSR Random Input Stimuli Formatter Padding Unit NIST KAT RTL/Netlist Expected Response Simulated Response Check Results Generate TV manufactured ASIC Test Vectors HP83000 Simulation Result Measurement Result Microelectronics Design Center 8 / 29

13 Reporting Performance: Area How much silicon area is used by the circuit Area is reported in Gate Equivalents (GE). For the UMC65 technology and the standard cell library used 1 GE=1.44µm 2 Includes overhead for clock trees, scan chains, reset circuitry. Microelectronics Design Center 9 / 29

14 Reporting Performance: Area How much silicon area is used by the circuit Area is reported in Gate Equivalents (GE). For the UMC65 technology and the standard cell library used 1 GE=1.44µm 2 Includes overhead for clock trees, scan chains, reset circuitry. Area in Gate Equivalents is not very accurate Additional overhead for : Power Routability Signal integrity These depend on circuit and operating conditions. Microelectronics Design Center 9 / 29

15 Reporting Performance: Time, Speed, Throughput Finding the correct unit Clock period [ns] Main constraint for speed in a digital circuit. Microelectronics Design Center 10 / 29

16 Reporting Performance: Time, Speed, Throughput Finding the correct unit Clock period [ns] Main constraint for speed in a digital circuit. Throughput [Gbit/s] Useful when comparing different architectures In this work: long message hashing performance. Microelectronics Design Center 10 / 29

17 Reporting Performance: Time, Speed, Throughput Finding the correct unit Clock period [ns] Main constraint for speed in a digital circuit. Throughput [Gbit/s] Useful when comparing different architectures In this work: long message hashing performance. Time per data item [ns/bit] More practical for AT (Area-Time) plots, one axis is time. Similar to [cycles/byte] used for software performance Microelectronics Design Center 10 / 29

18 The AT plot 5A 4A 3A 2A A Increasing Area More Efficient Implementation Increasing Critical Path / Decreasing Operating Frequency 0 0 T 2T 3T 4T 5T 6T 7T 8T Microelectronics Design Center 11 / 29

19 The AT plot 5A 4A 3A 2A A Increasing Area More Efficient Implementation Constant AT Product Circuit Implementation Increasing Critical Path / Decreasing Operating Frequency 0 0 T 2T 3T 4T 5T 6T 7T 8T Microelectronics Design Center 11 / 29

20 The AT plot 5A 4A 3A 2A A Increasing Area More Efficient Implementation Constant AT Product Implementations with different constraints Increasing Critical Path / Decreasing Operating Frequency 0 0 T 2T 3T 4T 5T 6T 7T 8T Microelectronics Design Center 11 / 29

21 The AT plot 5A 4A Different constant AT lines 3A 2A A Increasing Area More Efficient Implementation Increasing Critical Path / Decreasing Operating Frequency 0 0 T 2T 3T 4T 5T 6T 7T 8T Microelectronics Design Center 11 / 29

22 The AT plot 5A 4A 3A 2A A Increasing Area More Efficient Implementation Large variation of results typically +/- 10% Increasing Critical Path / Decreasing Operating Frequency 0 0 T 2T 3T 4T 5T 6T 7T 8T Microelectronics Design Center 11 / 29

23 The AT plot 5A 4A Overconstrained for Speed => Too large 3A 2A A Increasing Area More Efficient Implementation Efficient Implementations Overconstrained for Area => Too slow Increasing Critical Path / Decreasing Operating Frequency 0 0 T 2T 3T 4T 5T 6T 7T 8T Microelectronics Design Center 11 / 29

24 Synthesis Results 180 Faster More Efficient Smaller 25 kbit/s/gate Area [kgate eq] kbit/s/gate kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 12 / 29

25 Synthesis Results Area [kgate eq] Keccak 20 0 Gbit/s 0 ns/bit Synthesis Run Results with Different Timing Constraints Grostl JH 1000 kbit/s/gate Skein 500 kbit/s/gate 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Faster More Efficient 50 kbit/s/gate 100 kbit/s/gate 200 kbit/s/gate SHA-2 Smaller 25 kbit/s/gate gmu Time per bit [ns/bit] SHA-2 BLAKE Grostl JH Keccak Skein Microelectronics Design Center 12 / 29

26 Synthesis Results Area [kgate eq] Selected Implementation Faster More Efficient Smaller 25 kbit/s/gate 50 kbit/s/gate gmu SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 12 / 29

27 The Story of Wireload Models Wireload models reflect the routing overhead of the circuit Parasitic effects are major contributors to overall delay. Microelectronics Design Center 13 / 29

28 The Story of Wireload Models Wireload models reflect the routing overhead of the circuit Parasitic effects are major contributors to overall delay. During synthesis, wireload models approximate this delay. Microelectronics Design Center 13 / 29

29 The Story of Wireload Models Wireload models reflect the routing overhead of the circuit Parasitic effects are major contributors to overall delay. During synthesis, wireload models approximate this delay. Each circuit is different, will require a different wireload. Microelectronics Design Center 13 / 29

30 The Story of Wireload Models Wireload models reflect the routing overhead of the circuit Parasitic effects are major contributors to overall delay. During synthesis, wireload models approximate this delay. Each circuit is different, will require a different wireload. Wireload can be extracted after place and route. Microelectronics Design Center 13 / 29

31 The Story of Wireload Models Wireload models reflect the routing overhead of the circuit Parasitic effects are major contributors to overall delay. During synthesis, wireload models approximate this delay. Each circuit is different, will require a different wireload. Wireload can be extracted after place and route. Subsequent synthesis runs will be more accurate. Microelectronics Design Center 13 / 29

32 Synthesis Results with Extracted Wireload Area [kgate eq] Faster More Efficient Result of Synthesis Exploration Smaller 25 kbit/s/gate 50 kbit/s/gate gmu SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 14 / 29

33 Synthesis Results with Extracted Wireload Area [kgate eq] Change in Performance Faster More Synthesis Run Efficient with Extracted Wireload Results for Different Timing Constraints Smaller 25 kbit/s/gate 50 kbit/s/gate gmu SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 14 / 29

34 Synthesis Results with Extracted Wireload Area [kgate eq] Faster More Efficient Selected Implementation from Synthesis Run with Extracted Wireload Smaller 25 kbit/s/gate 50 kbit/s/gate gmu SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 14 / 29

35 Obtaining Postlayout Results Cores synthetized separately, combined during backend Constraints specified individually for each core. Microelectronics Design Center 15 / 29

36 Obtaining Postlayout Results Cores synthetized separately, combined during backend Constraints specified individually for each core. SoC Encounter can optimize all modes simultaneously. Microelectronics Design Center 15 / 29

37 Obtaining Postlayout Results Cores synthetized separately, combined during backend Constraints specified individually for each core. SoC Encounter can optimize all modes simultaneously. Due to parasitic effects, constraints are relaxed for P&R. Microelectronics Design Center 15 / 29

38 Obtaining Postlayout Results Cores synthetized separately, combined during backend Constraints specified individually for each core. SoC Encounter can optimize all modes simultaneously. Due to parasitic effects, constraints are relaxed for P&R. Backend affects each circui differently. Microelectronics Design Center 15 / 29

39 Obtaining Postlayout Results Cores synthetized separately, combined during backend Constraints specified individually for each core. SoC Encounter can optimize all modes simultaneously. Due to parasitic effects, constraints are relaxed for P&R. Backend affects each circui differently. Used several runs to find an acceptable solution. Microelectronics Design Center 15 / 29

40 Postlayout Results Area [kgate eq] Initial Synthesis Synthesis with Extracted Wireload Faster More Efficient Smaller 25 kbit/s/gate 50 kbit/s/gate gmu SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 16 / 29

41 Postlayout Results Area [kgate eq] Initial Synthesis Final Postlayout Result Synthesis with Extracted Wireload Faster More Efficient Smaller 25 kbit/s/gate 50 kbit/s/gate gmu SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 16 / 29

42 Postlayout Results Area [kgate eq] Faster More Efficient Smaller 25 kbit/s/gate 50 kbit/s/gate Gbit/s gmu ethz SHA-2 BLAKE Grostl JH Keccak Skein kbit/s/gate kbit/s/gate 500 kbit/s/gate 200 kbit/s/gate 0 Gbit/s 0 ns/bit 10 Gbit/s 5.0 Gbit/s 3.3 Gbit/s 2.5 Gbit/s 2.0 Gbit/s 1.6 Gbit/s 0.1 ns/bit 0.2 ns/bit 0.3 ns/bit 0.4 ns/bit 0.5 ns/bit 0.6 ns/bit Throughput [Gbit/s] Time per bit [ns/bit] Microelectronics Design Center 16 / 29

43 Normalized Energy/bit, Measurement vs Estimation Energy/Bit normalized to GMU SHA Normalized Energy/Bit for ALL SHA-3 Candidates Postlayout Results Typical Conditions VDD=1.2V Numbers in pj/bit GMU , SHA-2 BLAKE Groestl JH Algorithms Keccak Skein Microelectronics Design Center 17 / 29

44 Normalized Energy/bit, Measurement vs Estimation Energy/Bit normalized to GMU SHA SHA-2 Normalized Energy/Bit for ALL SHA-3 Candidates Postlayout Results Typical Conditions VDD=1.2V Numbers in pj/bit , BLAKE Groestl JH Algorithms Keccak GMU ETHZ Skein Microelectronics Design Center 17 / 29

45 Normalized Energy/bit, Measurement vs Estimation Energy/Bit normalized to GMU SHA Normalized Energy/Bit for ALL SHA-3 Candidates Measurement Results Average of 5 ASICs VDD=1.2V Numbers in pj/bit GMU ETHZ SHA-2 BLAKE Groestl JH Algorithms Keccak Skein Microelectronics Design Center 17 / 29

46 Throughput/Area, Measurement vs Estimation 3 Normalized Throughput/Area of ALL SHA-3 Candidates Throughput/Area normalized to GMU SHA Postlayout Results Typical Case VDD=1.2V Numbers in kbits/ge 179 GMU SHA-2 BLAKE Groestl JH Algorithms Keccak Skein Microelectronics Design Center 18 / 29

47 Throughput/Area, Measurement vs Estimation Throughput/Area normalized to GMU SHA SHA-2 Normalized Throughput/Area of ALL SHA-3 Candidates Postlayout Results Typical Case VDD=1.2V Numbers in kbits/ge BLAKE Groestl JH Algorithms Keccak 117 GMU ETHZ Skein 44 Microelectronics Design Center 18 / 29

48 Throughput/Area, Measurement vs Estimation Throughput/Area normalized to GMU SHA SHA-2 Normalized Throughput/Area of ALL SHA-3 Candidates Measurement Results Average of 5 ASICs VDD=1.2V Numbers in kbits/ge BLAKE Groestl JH Algorithms Keccak 121 GMU ETHZ Skein 46 Microelectronics Design Center 18 / 29

49 Concluding Remarks (I) SHA-2 Very efficient in hardware By far the smallest Algorithm has been around longer, perhaps reason for more optimized implementations Microelectronics Design Center 19 / 29

50 Concluding Remarks (I) SHA-2 Very efficient in hardware By far the smallest Algorithm has been around longer, perhaps reason for more optimized implementations BLAKE Compact, easy to implement Allows good scalability Not the fastest Microelectronics Design Center 19 / 29

51 Concluding Remarks (II) Grøstl Best scalability (Speed/Area tradeoff) Low throughput per area Cumbersome for hardware Microelectronics Design Center 20 / 29

52 Concluding Remarks (II) Grøstl Best scalability (Speed/Area tradeoff) Low throughput per area Cumbersome for hardware JH Consistently ranks in the middle So far, unable to find good scaling options All modes use identical hardware Microelectronics Design Center 20 / 29

53 Concluding Remarks (III) Keccak Hands down fastest algorithm Large block size, and small latency key to speed Not very good Area/Speed trade-off Microelectronics Design Center 21 / 29

54 Concluding Remarks (III) Keccak Hands down fastest algorithm Large block size, and small latency key to speed Not very good Area/Speed trade-off Skein Low throughput per area Interesting hardware trade-offs due to adder Longer combinational delay per clock cycle, perhaps reason for better match between expectation and measurement. Microelectronics Design Center 21 / 29

55 Lessons Learned Synthesis results can be far from actual performance Microelectronics Design Center 22 / 29

56 Lessons Learned Synthesis results can be far from actual performance Measurement on ASIC is necessary Microelectronics Design Center 22 / 29

57 Lessons Learned Synthesis results can be far from actual performance Measurement on ASIC is necessary Industrial EDA tools ill suited for best performance Microelectronics Design Center 22 / 29

58 Lessons Learned Synthesis results can be far from actual performance Measurement on ASIC is necessary Industrial EDA tools ill suited for best performance Different implementations should be compared Microelectronics Design Center 22 / 29

59 Thank you... Microelectronics Design Center 23 / 29

60 Additional Material All sources and scripts: Microelectronics Design Center 24 / 29

61 One ASIC, Many Cores A common I/O interface for all cores LFSR based input assembles random input message FinalBlock signal tells that current message block is last Last message block is padded (fixed padding length) All inputs applied parallel, 1088 bits for Keccak, 512 for others Multiplexer selects 16-bits out of 256 output bits Microelectronics Design Center 25 / 29

62 Post Layout Results: Speed, Typical Case Alg. Block Size Impl. Area (FFs) Max. Clk Tput TpA [bits] [kge] [MHz] [Gbit/s] [kbit/s GE] SHA BLAKE 512 Grøstl 512 JH 512 Keccak 1088 Skein 512 ETHZ (29%) GMU (35%) ETHZ (26%) GMU (34%) ETHZ (17%) GMU (9%) ETHZ (27%) GMU (31%) ETHZ (25%) GMU (19%) ETHZ (19%) GMU (22%) Microelectronics Design Center 26 / 29

63 Measurement Results: Speed, Average of 5 ASICs Alg. Block Size Impl. Area (FFs) Max. Clk Tput TpA [bits] [kge] [MHz] [Gbit/s] [kbit/s GE] SHA BLAKE 512 Grøstl 512 JH 512 Keccak 1088 Skein 512 ETHZ (29%) GMU (35%) ETHZ (26%) GMU (34%) ETHZ (17%) GMU (9%) ETHZ (27%) GMU (31%) ETHZ (25%) GMU (19%) ETHZ (19%) GMU (22%) Microelectronics Design Center 27 / 29

64 Post Layout Results: Gb/s, Typical Algorithm Block Size Imp. Latency Clk Freq. Power Energy/bit [bits] [cycles] [MHz] [mw] [pj/bit] SHA BLAKE 512 Grøstl 512 JH 512 Keccak 1088 Skein 512 ETHZ GMU ETHZ GMU ETHZ GMU ETHZ GMU ETHZ GMU ETHZ GMU Microelectronics Design Center 28 / 29

65 Measurement Results: Gb/s - 1.2V Algorithm Block Size Imp. Latency Clk Freq. Power Energy/bit [bits] [cycles] [MHz] [mw] [pj/bit] SHA BLAKE 512 Grøstl 512 JH 512 Keccak 1088 Skein 512 ETHZ GMU ETHZ GMU ETHZ GMU ETHZ GMU ETHZ GMU ETHZ GMU Microelectronics Design Center 29 / 29

Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates

Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Lessons Learned from Designing a 65 nm ASIC for Third Round SHA-3 Candidates Frank K. Gürkaynak, Kris Gaj, Beat Muheim, Ekawat Homsirikamol, Christoph Keller, Marcin Rogawski, Hubert Kaeslin, Jens-Peter

More information

Throughput vs. Area Trade-offs in High-Speed Architectures of Five Round 3 SHA-3 Candidates Implemented Using Xilinx and Altera FPGAs

Throughput vs. Area Trade-offs in High-Speed Architectures of Five Round 3 SHA-3 Candidates Implemented Using Xilinx and Altera FPGAs Throughput vs. Area Trade-offs in High-Speed Architectures of Five Round 3 SHA-3 Candidates Implemented Using Xilinx and Altera FPGAs Ekawat Homsirikamol, Marcin Rogawski, and Kris Gaj George Mason University

More information

Fair and Comprehensive Performance Evaluation of 14 Second Round SHA-3 ASIC Implementations

Fair and Comprehensive Performance Evaluation of 14 Second Round SHA-3 ASIC Implementations Fair and Comprehensive Performance Evaluation of 14 Second Round SHA-3 ASIC Implementations Xu Guo, Sinan Huang, Leyla Nazhandali and Patrick Schaumont Bradley Department of Electrical and Computer Engineering,

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Minerva: Automated Hardware Optimization Tool

Minerva: Automated Hardware Optimization Tool Minerva: Automated Hardware Optimization Tool Farnoud Farahmand, Ahmed Ferozpuri, William Diehl and Kris Gaj Department of Electrical and Computer Engineering, George Mason University Fairfax, VA, U.S.A.

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization

Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization Sashisu Bajracharya MS CpE Candidate Master s Thesis Defense Advisor: Dr

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. High-speed low-power 2D DCT Accelerator EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. Mingoo Seok Project Goal Project Goal Execute a full VLSI design

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Power Optimised Digital Filterbank as Part of a Psychoacoustic Human Hearing Model

Power Optimised Digital Filterbank as Part of a Psychoacoustic Human Hearing Model Power Optimised Digital Filterbank as Part of a Psychoacoustic Human Hearing Model Frank Poppen, Milan Schulte and Wolfgang Nebel OFFIS Research Institute Oldenburg, Germany www.offis.de ABSTRACT The psychoacoustically

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

The backend duplication method

The backend duplication method The backend duplication method - A Leakage-Proof Place-and and-route Strategy for Secured ASICs - CHES Workshop August 30th September 1st 2005 Edinburgh, Scotland, UK. Sylvain GUILLEY (*), Philippe HOOGVORST

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Classic. Feature. EPLD Family. Table 1. Classic Device Features

Classic. Feature. EPLD Family. Table 1. Classic Device Features Classic EPLD Family May 1999, ver. 5 Data Sheet Features Complete device family with logic densities of 300 to 900 usable gates (see Table 1) Device erasure and reprogramming with non-volatile EPROM configuration

More information

A 32 Gbps 2048-bit 10GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method

A 32 Gbps 2048-bit 10GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method A 32 Gbps 248-bit GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method Tinoosh Mohsenin and Bevan M. Baas VLSI Computation Lab, ECE Department University of California,

More information

J~ -/-(~ Dr. Jens-Peter Kaps, Committee Member

J~ -/-(~ Dr. Jens-Peter Kaps, Committee Member A HARDWARE IMPLEMENTATION OF THE SOM FOR A NETWORK INTRUSION DETECTION SYSTEM by Brent W. Roeder A Thesis Submitted to the Graduate Faculty of George Mason University in Partial Fulfillment of The Requirements

More information

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction 3/18/2012 Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction M. Poolakkaparambil 1, J. Mathew 2, A. Jabir 1, & S. P. Mohanty 3 Oxford Brookes University 1, University of Bristol

More information

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11 Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo Krystina Tabangcura 7/25/11 Outline Single-bit Full Adder Different Topologies Simulation Setup

More information

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1 Power Minimization using Voltage reduction and Parallel Processing Sudheer Vemula Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL. Goal of the project:- To reduce the power consumed

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency. SoC Design ICE of silicon Computational efficiency [MOPS/W] 10 6 [Roza] 10 5 Intrinsic computational efficiency 3DTV 10 4 10 3 10 2 10 1 i386sx 601 604 604e microsparc Ultra sparc i486dx P5 Super sparc

More information

DIGITAL IMPLEMENTATION OF HIGH SPEED PULSE SHAPING FILTERS AND ADDRESS BASED SERIAL PERIPHERAL INTERFACE DESIGN

DIGITAL IMPLEMENTATION OF HIGH SPEED PULSE SHAPING FILTERS AND ADDRESS BASED SERIAL PERIPHERAL INTERFACE DESIGN DIGITAL IMPLEMENTATION OF HIGH SPEED PULSE SHAPING FILTERS AND ADDRESS BASED SERIAL PERIPHERAL INTERFACE DESIGN A Thesis Presented to The Academic Faculty by Arun Rachamadugu In Partial Fulfillment of

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.9, NO.4, DECEMBER, 2009 187 Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder Jihye Yoo, Seonyoung Lee, and Kyeongsoon Cho

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

Digital Signal Processing for an Integrated Power-Meter

Digital Signal Processing for an Integrated Power-Meter 49. Internationales Wissenschaftliches Kolloquium Technische Universität Ilmenau 27.-30. September 2004 Borisav Jovanović / Milunka Damnjanović / Predrag Petković Digital Signal Processing for an Integrated

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Digital IC-Project and Verification

Digital IC-Project and Verification Digital IC-Project and Verification (STA) Liang Liu & Joachim Rodrigues Outline STA & PrimeTime Overview STA Using PrimeTime Basic Concepts PrimeTime Flow Suggestions What s STA STA is a method of validating

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble 1 Electronic Design Automation at Transistor Level by Ricardo Reis Preamble 1 Quintillion of Transistors 90 65 45 32 NM Electronic Design Automation at Transistor Level Ricardo Reis Universidade Federal

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique G. Sai Krishna Master of Technology VLSI Design, Abstract: In electronics, an adder or summer is digital circuits that

More information

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI International Journal of Electronics Engineering, 1(1), 2009, pp. 103-112 VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI Amrita Rai 1*, Manjeet Singh 1 & S. V. A. V. Prasad 2

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

Optimized high performance multiplier using Vedic mathematics

Optimized high performance multiplier using Vedic mathematics IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. I (Sep-Oct. 2014), PP 06-11 e-issn: 2319 4200, p-issn No. : 2319 4197 Optimized high performance multiplier using Vedic mathematics

More information

ASIC Implementation of High Throughput PID Controller

ASIC Implementation of High Throughput PID Controller ASIC Implementation of High Throughput PID Controller 1 Chavan Suyog, 2 Sameer Nandagave, 3 P.Arunkumar 1,2 M.Tech Scholar, 3 Assistant Professor School of Electronics Engineering VLSI Division, VIT University,

More information

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18 ISSUE : -/2 PAGE : 1 /18 Executive Summary Written by Responsibility-Company Date Signature Project team Alcatel Space and Imec Verified by Emmanuel Liegeon ASIC Design Engineer - Study responsible Approved

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools K.Sravya [1] M.Tech, VLSID Shri Vishnu Engineering College for Women, Bhimavaram, West

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

Low power implementation of Trivium stream cipher

Low power implementation of Trivium stream cipher Low power implementation of Trivium stream cipher Mora Gutiérrez, J.M 1. Jiménez Fernández, C.J. 2, Valencia Barrero, M. 2 1 Instituto de Microelectrónica de Sevilla, Centro Nacional de Microelectrónica(CSIC).

More information

FlexWave: Development of a Wavelet Compression Unit

FlexWave: Development of a Wavelet Compression Unit FlexWave: Development of a Wavelet Compression Unit Jan.Bormans@imec.be Adrian Chirila-Rus Bart Masschelein Bart Vanhoof ESTEC contract 13716/99/NL/FM imec 004 Outline! Scope and motivation! FlexWave image

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Vocal Command Recognition Using Parallel Processing of Multiple Confidence-Weighted Algorithms in an FPGA

Vocal Command Recognition Using Parallel Processing of Multiple Confidence-Weighted Algorithms in an FPGA Vocal Command Recognition Using Parallel Processing of Multiple Confidence-Weighted Algorithms in an FPGA ECE-492/3 Senior Design Project Spring 2015 Electrical and Computer Engineering Department Volgenau

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

On Current Strategies for Hardware Acceleration of Digital Image Restoration Filters

On Current Strategies for Hardware Acceleration of Digital Image Restoration Filters On Current Strategies for Hardware Acceleration of Digital Image Restoration Filters ERIC GRANGER Laboratoire d imagerie, de vision et d intelligence artificielle Dépt. de génie de la production automatisée

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL E.Deepthi, V.M.Rani, O.Manasa Abstract: This paper presents a performance analysis of carrylook-ahead-adder and carry

More information

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Acknowledgement: Materials in this lecture are courtesy of the following sources and are used with permission. Curt Schurgers J. Rabaey, A. Chandrakasan,

More information

Final Project Report 4-bit ALU Design

Final Project Report 4-bit ALU Design ECE 467 Final Project Report 4-bit ALU Design Fall 2013 Kai Zhao Aswin Gonzalez Sepideh Roghanchi Soroush Khaleghi Part 1) Final ALU Design: There are 6 different functions implemented in this ALU: 1)

More information

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN XXVII SIM - South Symposium on Microelectronics 1 Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN Jorge Tonfat, Ricardo Reis jorgetonfat@ieee.org, reis@inf.ufrgs.br Grupo de Microeletrônica

More information

How cryptographic benchmarking goes wrong. Thanks to NIST 60NANB12D261 for funding this work, and for not reviewing these slides in advance.

How cryptographic benchmarking goes wrong. Thanks to NIST 60NANB12D261 for funding this work, and for not reviewing these slides in advance. How cryptographic benchmarking goes wrong 1 Daniel J. Bernstein Thanks to NIST 60NANB12D261 for funding this work, and for not reviewing these slides in advance. PRESERVE, ending 2015.06.30, was a European

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Lecture 1: Digital Systems and VLSI

Lecture 1: Digital Systems and VLSI VLSI Design Lecture 1: Digital Systems and VLSI Shaahinhi Hessabi Department of Computer Engineering Sharif University of Technology Adapted with modifications from lecture notes prepared by the book author

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

A Generic Standard Cell Design Methodology for Differential Circuit Styles

A Generic Standard Cell Design Methodology for Differential Circuit Styles A Generic Standard Cell Design Methodology for Differential Circuit Styles Stéphane Badel, Erdem Güleyüpoğlu, Özgür İnaç, Anna Peña Martinez, Paolo Vietti, Frank K. Gürkaynak and Yusuf Leblebici Microelectronic

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Minimum key length for cryptographic security

Minimum key length for cryptographic security Journal of Applied Mathematics & Bioinformatics, vol.3, no.1, 2013, 181-191 ISSN: 1792-6602 (print), 1792-6939 (online) Scienpress Ltd, 2013 Minimum key length for cryptographic security George Marinakis

More information

Architectural and Technology Influence on the Optimal Total Power Consumption

Architectural and Technology Influence on the Optimal Total Power Consumption Architectural and Technology Influence on the Optimal Total Power Consumption Schuster Christian 1, Nagel Jean-Luc 1, Piguet Christian, Farine Pierre-André 1 1 IMT, University of Neuchâtel, Switzerland

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units

Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units DAVID NEUHÄUSER Friedrich Schiller University Department of Computer Science D-7737 Jena GERMANY david.neuhaeuser@uni-jena.de

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information