Vocal Command Recognition Using Parallel Processing of Multiple Confidence-Weighted Algorithms in an FPGA

Size: px
Start display at page:

Download "Vocal Command Recognition Using Parallel Processing of Multiple Confidence-Weighted Algorithms in an FPGA"

Transcription

1 Vocal Command Recognition Using Parallel Processing of Multiple Confidence-Weighted Algorithms in an FPGA ECE-492/3 Senior Design Project Spring 2015 Electrical and Computer Engineering Department Volgenau School of Engineering George Mason University Fairfax, VA Team members: Faculty Supervisor: Kevin Briggs, Scott Carlson, Christian Gibbons, Jason Page, Antonia Paris, and David Wernli Prof. Jens Peter Kaps Abstract A novel system for vocal command recognition utilizing a field-programmable gate array (FPGA) chip was developed. An analog audio signal is processed and run through three speech recognition algorithms to determine the spoken word. Each algorithm is processed in parallel, creating greater levels of accuracy with little loss in speed. FPGA technology is utilized since it is well suited to parallel processing with low latency and near real-time performance. When compared to software based voice recognition methods, this system offers a reduction in both overhead and latency while improving response times. FPGAs are also comparatively less expensive than general purpose processors and their requisite hardware. The small footprint and low cost of this vocal command recognition system makes it well suited for inexpensive applications with a limited, fixed vocabulary in a number of varying environments where system overhead or connectivity are of concern. 1. Introduction Current implementations of vocal command interfaces suffer from a number of shortcomings. For example, connected systems delegate processing of speech signals to remote locations. This dependency on an external connection leaves the system vulnerable to connectivity outages and security breaches. It also introduces additional latency to the system, rendering real time speech recognition a challenge. The majority of disconnected systems are speaker dependent and therefore unable to interpret different speech patterns. Many disconnected vocal command interfaces are also quite expensive, making them unfeasible for cost sensitive applications. The vocal command interface implemented in this project is designed to be disconnected, speaker independent, contain an extensible vocabulary, and be relatively low in cost.

2 2. Requirements specification The following is a list of requirements assembled through interviews with our potential users: 1. INTERFACE 1.A The system shall take vocal commands from the end user to affect an output signal. 2. INPUT 2.A The system shall compare vocal command against a list of predefined command words. 2.B The system shall have an extensible vocabulary of no less than 10 commands. 2.C The system shall be capable of handling a command of up to 1 second in length. 2.D The system will be capable of distinguishing between low-level noise and spoken inputs. 2.E The system shall be speaker independent. 3. OUTPUT 3.A Upon positive match, the system shall output the appropriate control signal to an external discrete device or system. 3.B Upon no match, the system shall output a control signal indicating that status. 3.C The system should respond within 100ms from spoken command completion. 3.D The output shall follow a rigid, extensible structure for simple integration into existing control devices. 4. TECHNOLOGY 4.A The system must utilize FPGA technology in speech recognition. 4.B The system shall operate in a state of continuous monitoring of any input signals without requiring any extraneous physical prompt from the user. 4.C The system shall be capable of processing the spoken command through at least two recognition algorithms simultaneously 4.D The system shall be modular allowing easy interface with existing hardware. 4.E The system shall be capable of operating in an environment with a signal to noise ratio greater than - 10dB. 5. WISHLIST 5.A The system should be capable of allowing the end user to extend the known command list without software or hardware modification. 5.B The system should be capable of allowing the end user to improve the response to a specific spoken command without modification of hardware or software. 5.C The system should be given a pre-processed signal to adjust for noisy environments (or process within the system). 5.D The system should be capable of swapping the recognition module through software updates. 5.E The system should have the ability to distinguish voice despite a wider variety of environmental parameters, such as background noise level, speaker accent, and speaker tone. 3. System development In the first half of this projectthe team developed a working prototype of a vocal command recognition system in MATLAB. The goal behind developing the prototype in MATLAB was to be able to understand the workings of each individual module in the system, and how they will ultimately tie together in VHDL. In the second half, the project moved from the prototyping phase into the actual implementation phase. The challenge here was to decompose our MATLAB model into individual modules in VHDL. To translate our MATLAB prototype into VHDL for implementation on an FPGA, we first determined all the necessary blocks to execute each function of our MATLAB prototype. After decomposing our MATLAB prototype into functional building blocks we then determined the necessary inputs and outputs for each building block. Treating them as black boxes we created VHDL modules corresponding to each building block. We then created the necessary signals and instantiations of each module within a top level architecture shown in Figure 1. The speech detector module is responsible for receiving data from the external ADC and determining if the current input audio signal contains enough energy to correspond to speech. The energy content of the speech signal is computed in real time and then passed through a running average filter. When the average energy 2

3 content rises above a predefined threshold, a flag is generated that enables writing of sample data to a register that stores incoming samples until the end of the word is detected by the energy content dropping back below the threshold. The analog front end and speech detector modules were implemented in VHDL and were tested with the PMODMIC (an add-on board provided by Digilent that is compatible with Digilent snexys 3 FPGA development board.) The signature extraction module is responsible for computing the unique features of interest that allow identification and discernment between different sets of audio signals. One method of extracting such information from a speech signal is known as LPC, or linear predictive coding. Figure 1: System architecture Linear predictive coding suggests that human speech begins as a series of glottal pulses or an impulse train that forces air up the vocal tract where the vocal tract acts as a filter on this signal. The goal of linear predictive coding is to determine a set of coefficients that can be used to model the behavior of the vocal tract during the utterance of a word. That set of coefficients can then be used to linearly predict the magnitude of the next speech sample such as in speech synthesis applications, and it can also be used for matching purposes as in the case of vocal command recognition. The general form of the LPC prediction equation is given below [1]. The signature extraction module generates these LPC coefficients for detected speech samples. It receives stored samples from the signature register and runs an algorithm to generate LPC coefficients. The output is then fed to the set of matching algorithms where it is compared against the LPC coefficients of stored command words. To calculate the LPC values, an autocorrelation method combined with matrix multiplication was used. The autocorrelation is responsible for generating the vector that is used to populate the matrix that will allow for the LPCs calculation. Basic autocorrelation across the time domain is used on each 8-bit input sample to iteratively create the autocorrelation values. These values will in turn create a vector of our desired length of 21. These would then be used to populate a matrix that allow for the calculation of the coefficients. Solving for the LPC coefficients from this matrix directly brings a large footprint if conducted in parallel, and many operations if conducted sequentially. However, as the resulting matrix is a Toeplitz Matrix, it can be solved using the Levinson- Durbin Recursion algorithm which can have similar resource utilization of a sequential solution while cutting down on the number of operations. The matching algorithms are responsible for matching incoming feature vectors generated by the signature extraction module against command word features stored in the command register. Three matching algorithms are utilized in parallel, each performing a different algorithm to determine the similarity between incoming speech and stored command words. The outputs of each match algorithm are utilized in the sorting/weight/rank 3

4 module to determine which, if any, command word was spoken and generate the necessary status and control output. The first matching algorithm (Figure 2) computes the variance in LPC coefficients of incoming word utterances, variance of stored command words, and covariance of incoming word utterances and stored command words. Figure 2: Matching algorithm #1 - Equations and data path The second matching algorithm is design to compute the Euclidean distance between LPC coefficients of incoming word utterances and stored command words. The final matching algorithm computes the difference in rate of change between LPC coefficients of the incoming word utterance and stored command words. The sorting algorithm was designed to take a minimum number of clock cycles while allowing incoming data to be placed in the sorted list without causing any delay. This method utilizes a custom designed register structure along with a sorting algorithm loosely based on the Radix sort algorithm.the full execution of this insertion then 4

5 takes only a single clock cycle. The total time for finding the proper insertion location could be reduced by adding additional read logic to allow reading from multiple locations at a single time. Weighting module takes the ranked scores from each of the match scoring modules and creates the master list of the top 24 command matches from each. Each scoring module s best matches are given a weight equal to the square root of the rank, times 10,000. The actual weights are provided via lookup table.the score data is read in from the sorted score register. This data is addressed by rank, and contains the command number (corresponding to the master list of signature data) as well as the actual score. The score data is discarded. The command number is then utilized as the address for the write portion of the module s actions and the data into the subsequent register is provided as the sum of the current weight and the loaded weight from that register.once through all of the top ranked command list matches, the weighted words will be in the next register. The final ranking is done through a re-use of the sort method and register description from the score sorting module with the addition of simple control logic to manage the generation of input and start signals. 4. Experimentation plan For the final design of our system, a testing plan has been devised that was broken down into three stages. Each stage of the testing plan aimed to address different requirements set for the system during the design of this vocal command recognition system. Stage one of the experimentation plan tested the system utilizing prerecorded utterances. The specifications for this stage of the experimentation plan were as follows: A minimum of 30 different words with minimum of 10 utterances per word. A minimum of 10 different speakers with a variation in accents and gender. The test is deemed a success if the recognition accuracy is at least 80% and the system output takes 0.5 seconds or less. Stage two of the experimentation plan was designed to test the systems noise tolerance utilizing prerecorded environmental sounds. It was designed to reflect real world operation of the system, where the system was subjected to sounds that are not speech. The requirements of this stage were that the system was subjected to a minimum of ten (10) sounds that were not human speech. The experiment in this case was deemed a success, if the system could recognize each sound as a non-command match. Stage three of the experimentation plan tested the systems functionality and usability utilizing live inputs. The requirements for this experimentations stage were as follows: All team members and 20 non-team members shall provide live inputs. Include non-team members to include variation in gender and accent, and at least two users with speech impediments Each user shall enter a minimum of 10 commands with at least 30 utterances of each. Define success, if command words are properly stored, recognized with at least 80% accuracy and correct command is executed within 0.5 seconds from the end of the spoken utterance. Receive feedback from non-team members to determine ease of interacting with the system. 5. Experimental validation Each module has been tested individually and functions in a manner producing output as expected based on the input provided. The outputs of the individual modules are showing results that reflect those outputted by the MATLAB prototype. Below are simulation waveforms of selected three modules. 5

6 Figure 3: LPC module simulation and device utilization table Figure 4: Match algorithm 1 simulation Figure 5: Sorting algorithm simulation 6

7 System recognition results are shown in Figure 6. Over 2500 recorded test utterances were run through 5 million individual qualitative and quantitative tests. Optimal extraction algorithm selection, match algorithms and parameters, as well as final weighting formulae were determined based on these results. We noticed that: 1. Weighting drastically improves averaged performance, from 53% to 77% total accuracy and enhances robustness to noise. 2. Inclusion of the less accurate methods still increases overall system accuracy. The system was also tested using the video game Frogger as the external device. Five command words were used, with overall (speaker dependent) accuracy above 91%. Figure 6: Test recognition results Figure 7: Software vs. hardware speed Acomparison of gain in speed between pure software implementation and the hardware implementation using FPGEs is shown in Figure 7. As expected the gain is very significant and demonstrates a real-time performance. 6. Conclusions Vocal Command Recognition by itself is an advanced task. Combining advanced signal processing with advanced circuitry to make a device that can turn sound waves into a physical stimulus makes this task exponentially more difficult. Because of this there is a large room for error, with several engineers working on different parts, synchronous designing is essential. After dissecting the individual modules it was often found that the culprit is either the device resource utilization is over 100% or the maximum frequency is too low. As in most cases with FPGAs this is an issue of area vs. speed on the device. In many cases, a simple conversion from concurrent to sequential was required, or from sequential to concurrent. It was also helpful to learn about the implantation of BRAM, allowing us to significantly reduce several modules with over 10,000% resource utilization to less than 50% and in most cases less than 10%. In a specific case in the LPC module, where the device resource utilization was over 10,000% a new approach to the module was required because there was no efficient way to infer the algorithm that was being used. The project as a whole had many more hurdles than originally anticipated, especially after realizing the complexity of the project at hand. Pretesting was a key part of successfully completing this type of project. In this specific project s case the transformation from MATLAB simulation to FPGA simulation was more intensive than originally anticipated. References [1] 13_winter_2012_6tp.pdf [2] 7

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

Mel Spectrum Analysis of Speech Recognition using Single Microphone

Mel Spectrum Analysis of Speech Recognition using Single Microphone International Journal of Engineering Research in Electronics and Communication Mel Spectrum Analysis of Speech Recognition using Single Microphone [1] Lakshmi S.A, [2] Cholavendan M [1] PG Scholar, Sree

More information

Adaptive Filters Application of Linear Prediction

Adaptive Filters Application of Linear Prediction Adaptive Filters Application of Linear Prediction Gerhard Schmidt Christian-Albrechts-Universität zu Kiel Faculty of Engineering Electrical Engineering and Information Technology Digital Signal Processing

More information

Available online at ScienceDirect. Anugerah Firdauzi*, Kiki Wirianto, Muhammad Arijal, Trio Adiono

Available online at   ScienceDirect. Anugerah Firdauzi*, Kiki Wirianto, Muhammad Arijal, Trio Adiono Available online at www.sciencedirect.com ScienceDirect Procedia Technology 11 ( 2013 ) 1003 1010 The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Design and Implementation

More information

Adaptive Filters Linear Prediction

Adaptive Filters Linear Prediction Adaptive Filters Gerhard Schmidt Christian-Albrechts-Universität zu Kiel Faculty of Engineering Institute of Electrical and Information Engineering Digital Signal Processing and System Theory Slide 1 Contents

More information

Synthesis of speech with a DSP

Synthesis of speech with a DSP Synthesis of speech with a DSP Karin Dammer Rebecka Erntell Andreas Fred Ojala March 16, 2016 1 Introduction In this project a speech synthesis algorithm was created on a DSP. To do this a method with

More information

Communications Theory and Engineering

Communications Theory and Engineering Communications Theory and Engineering Master's Degree in Electronic Engineering Sapienza University of Rome A.A. 2018-2019 Speech and telephone speech Based on a voice production model Parametric representation

More information

DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS

DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS Item Type text; Proceedings Authors Hicks, William T. Publisher International Foundation for Telemetering Journal International Telemetering Conference Proceedings

More information

Speech Enhancement using Wiener filtering

Speech Enhancement using Wiener filtering Speech Enhancement using Wiener filtering S. Chirtmay and M. Tahernezhadi Department of Electrical Engineering Northern Illinois University DeKalb, IL 60115 ABSTRACT The problem of reducing the disturbing

More information

Chapter 4 SPEECH ENHANCEMENT

Chapter 4 SPEECH ENHANCEMENT 44 Chapter 4 SPEECH ENHANCEMENT 4.1 INTRODUCTION: Enhancement is defined as improvement in the value or Quality of something. Speech enhancement is defined as the improvement in intelligibility and/or

More information

EE482: Digital Signal Processing Applications

EE482: Digital Signal Processing Applications Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu EE482: Digital Signal Processing Applications Spring 2014 TTh 14:30-15:45 CBC C222 Lecture 12 Speech Signal Processing 14/03/25 http://www.ee.unlv.edu/~b1morris/ee482/

More information

Speech Synthesis using Mel-Cepstral Coefficient Feature

Speech Synthesis using Mel-Cepstral Coefficient Feature Speech Synthesis using Mel-Cepstral Coefficient Feature By Lu Wang Senior Thesis in Electrical Engineering University of Illinois at Urbana-Champaign Advisor: Professor Mark Hasegawa-Johnson May 2018 Abstract

More information

SIMULATION VOICE RECOGNITION SYSTEM FOR CONTROLING ROBOTIC APPLICATIONS

SIMULATION VOICE RECOGNITION SYSTEM FOR CONTROLING ROBOTIC APPLICATIONS SIMULATION VOICE RECOGNITION SYSTEM FOR CONTROLING ROBOTIC APPLICATIONS 1 WAHYU KUSUMA R., 2 PRINCE BRAVE GUHYAPATI V 1 Computer Laboratory Staff., Department of Information Systems, Gunadarma University,

More information

University of Washington Department of Electrical Engineering Computer Speech Processing EE516 Winter 2005

University of Washington Department of Electrical Engineering Computer Speech Processing EE516 Winter 2005 University of Washington Department of Electrical Engineering Computer Speech Processing EE516 Winter 2005 Lecture 5 Slides Jan 26 th, 2005 Outline of Today s Lecture Announcements Filter-bank analysis

More information

Autonomous Vehicle Speaker Verification System

Autonomous Vehicle Speaker Verification System Autonomous Vehicle Speaker Verification System Functional Requirements List and Performance Specifications Aaron Pfalzgraf Christopher Sullivan Project Advisor: Dr. Jose Sanchez 4 November 2013 AVSVS 2

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

Implementation of FPGA based Design for Digital Signal Processing

Implementation of FPGA based Design for Digital Signal Processing e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 150 156 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Implementation of FPGA based Design for Digital Signal Processing Neeraj Soni 1,

More information

Digital Signal Processing. VO Embedded Systems Engineering Armin Wasicek WS 2009/10

Digital Signal Processing. VO Embedded Systems Engineering Armin Wasicek WS 2009/10 Digital Signal Processing VO Embedded Systems Engineering Armin Wasicek WS 2009/10 Overview Signals and Systems Processing of Signals Display of Signals Digital Signal Processors Common Signal Processing

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

A LPC-PEV Based VAD for Word Boundary Detection

A LPC-PEV Based VAD for Word Boundary Detection 14 A LPC-PEV Based VAD for Word Boundary Detection Syed Abbas Ali (A), NajmiGhaniHaider (B) and Mahmood Khan Pathan (C) (A) Faculty of Computer &Information Systems Engineering, N.E.D University of Engg.

More information

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method International Journal of Recent Technology and Engineering (IJRTE) ISSN: 2277-3878, Volume-3, Issue-1, March 2014 The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method

More information

Finite Word Length Effects on Two Integer Discrete Wavelet Transform Algorithms. Armein Z. R. Langi

Finite Word Length Effects on Two Integer Discrete Wavelet Transform Algorithms. Armein Z. R. Langi International Journal on Electrical Engineering and Informatics - Volume 3, Number 2, 211 Finite Word Length Effects on Two Integer Discrete Wavelet Transform Algorithms Armein Z. R. Langi ITB Research

More information

Different Approaches of Spectral Subtraction Method for Speech Enhancement

Different Approaches of Spectral Subtraction Method for Speech Enhancement ISSN 2249 5460 Available online at www.internationalejournals.com International ejournals International Journal of Mathematical Sciences, Technology and Humanities 95 (2013 1056 1062 Different Approaches

More information

speech signal S(n). This involves a transformation of S(n) into another signal or a set of signals

speech signal S(n). This involves a transformation of S(n) into another signal or a set of signals 16 3. SPEECH ANALYSIS 3.1 INTRODUCTION TO SPEECH ANALYSIS Many speech processing [22] applications exploits speech production and perception to accomplish speech analysis. By speech analysis we extract

More information

Design and Implementation of Compressive Sensing on Pulsed Radar

Design and Implementation of Compressive Sensing on Pulsed Radar 44, Issue 1 (2018) 15-23 Journal of Advanced Research in Applied Mechanics Journal homepage: www.akademiabaru.com/aram.html ISSN: 2289-7895 Design and Implementation of Compressive Sensing on Pulsed Radar

More information

Overview of Code Excited Linear Predictive Coder

Overview of Code Excited Linear Predictive Coder Overview of Code Excited Linear Predictive Coder Minal Mulye 1, Sonal Jagtap 2 1 PG Student, 2 Assistant Professor, Department of E&TC, Smt. Kashibai Navale College of Engg, Pune, India Abstract Advances

More information

Hardware Implementation of Proposed CAMP algorithm for Pulsed Radar

Hardware Implementation of Proposed CAMP algorithm for Pulsed Radar 45, Issue 1 (2018) 26-36 Journal of Advanced Research in Applied Mechanics Journal homepage: www.akademiabaru.com/aram.html ISSN: 2289-7895 Hardware Implementation of Proposed CAMP algorithm for Pulsed

More information

DSP VLSI Design. DSP Systems. Byungin Moon. Yonsei University

DSP VLSI Design. DSP Systems. Byungin Moon. Yonsei University Byungin Moon Yonsei University Outline What is a DSP system? Why is important DSP? Advantages of DSP systems over analog systems Example DSP applications Characteristics of DSP systems Sample rates Clock

More information

Performance analysis of voice activity detection algorithm for robust speech recognition system under different noisy environment

Performance analysis of voice activity detection algorithm for robust speech recognition system under different noisy environment BABU et al: VOICE ACTIVITY DETECTION ALGORITHM FOR ROBUST SPEECH RECOGNITION SYSTEM Journal of Scientific & Industrial Research Vol. 69, July 2010, pp. 515-522 515 Performance analysis of voice activity

More information

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Test & Measurement Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Modern radar systems serve a broad range of commercial, civil, scientific and military applications.

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

NCCF ACF. cepstrum coef. error signal > samples

NCCF ACF. cepstrum coef. error signal > samples ESTIMATION OF FUNDAMENTAL FREQUENCY IN SPEECH Petr Motl»cek 1 Abstract This paper presents an application of one method for improving fundamental frequency detection from the speech. The method is based

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Chapter IV THEORY OF CELP CODING

Chapter IV THEORY OF CELP CODING Chapter IV THEORY OF CELP CODING CHAPTER IV THEORY OF CELP CODING 4.1 Introduction Wavefonn coders fail to produce high quality speech at bit rate lower than 16 kbps. Source coders, such as LPC vocoders,

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February :54

A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February :54 A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February 2009 09:54 The main focus of hearing aid research and development has been on the use of hearing aids to improve

More information

SPEECH ENHANCEMENT USING PITCH DETECTION APPROACH FOR NOISY ENVIRONMENT

SPEECH ENHANCEMENT USING PITCH DETECTION APPROACH FOR NOISY ENVIRONMENT SPEECH ENHANCEMENT USING PITCH DETECTION APPROACH FOR NOISY ENVIRONMENT RASHMI MAKHIJANI Department of CSE, G. H. R.C.E., Near CRPF Campus,Hingna Road, Nagpur, Maharashtra, India rashmi.makhijani2002@gmail.com

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

Time Matters How Power Meters Measure Fast Signals

Time Matters How Power Meters Measure Fast Signals Time Matters How Power Meters Measure Fast Signals By Wolfgang Damm, Product Management Director, Wireless Telecom Group Power Measurements Modern wireless and cable transmission technologies, as well

More information

Simulation of Algorithms for Pulse Timing in FPGAs

Simulation of Algorithms for Pulse Timing in FPGAs 2007 IEEE Nuclear Science Symposium Conference Record M13-369 Simulation of Algorithms for Pulse Timing in FPGAs Michael D. Haselman, Member IEEE, Scott Hauck, Senior Member IEEE, Thomas K. Lewellen, Senior

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

Introducing COVAREP: A collaborative voice analysis repository for speech technologies

Introducing COVAREP: A collaborative voice analysis repository for speech technologies Introducing COVAREP: A collaborative voice analysis repository for speech technologies John Kane Wednesday November 27th, 2013 SIGMEDIA-group TCD COVAREP - Open-source speech processing repository 1 Introduction

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

AVAL: Audio-Visual Active Locator ECE-492/3 Senior Design Project Spring 2014

AVAL: Audio-Visual Active Locator ECE-492/3 Senior Design Project Spring 2014 AVAL: Audio-Visual Active Locator ECE-492/3 Senior Design Project Spring 204 Electrical and Computer Engineering Department Volgenau School of Engineering George Mason University Fairfax, VA Team members:

More information

Speech and Audio Processing Recognition and Audio Effects Part 3: Beamforming

Speech and Audio Processing Recognition and Audio Effects Part 3: Beamforming Speech and Audio Processing Recognition and Audio Effects Part 3: Beamforming Gerhard Schmidt Christian-Albrechts-Universität zu Kiel Faculty of Engineering Electrical Engineering and Information Engineering

More information

SNGH s Not Guitar Hero

SNGH s Not Guitar Hero SNGH s Not Guitar Hero Rhys Hiltner Ruth Shewmon November 2, 2007 Abstract Guitar Hero and Dance Dance Revolution demonstrate how computer games can make real skills such as playing the guitar or dancing

More information

Speech Enhancement Based On Spectral Subtraction For Speech Recognition System With Dpcm

Speech Enhancement Based On Spectral Subtraction For Speech Recognition System With Dpcm International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Speech Enhancement Based On Spectral Subtraction For Speech Recognition System With Dpcm A.T. Rajamanickam, N.P.Subiramaniyam, A.Balamurugan*,

More information

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters Ali Arshad, Fakhar Ahsan, Zulfiqar Ali, Umair Razzaq, and Sohaib Sajid Abstract Design and implementation of an

More information

Speech Enhancement Based On Noise Reduction

Speech Enhancement Based On Noise Reduction Speech Enhancement Based On Noise Reduction Kundan Kumar Singh Electrical Engineering Department University Of Rochester ksingh11@z.rochester.edu ABSTRACT This paper addresses the problem of signal distortion

More information

Multi-core Platforms for

Multi-core Platforms for 20 JUNE 2011 Multi-core Platforms for Immersive-Audio Applications Course: Advanced Computer Architectures Teacher: Prof. Cristina Silvano Student: Silvio La Blasca 771338 Introduction on Immersive-Audio

More information

Spatial Audio Transmission Technology for Multi-point Mobile Voice Chat

Spatial Audio Transmission Technology for Multi-point Mobile Voice Chat Audio Transmission Technology for Multi-point Mobile Voice Chat Voice Chat Multi-channel Coding Binaural Signal Processing Audio Transmission Technology for Multi-point Mobile Voice Chat We have developed

More information

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise Journal of Embedded Systems, 2014, Vol. 2, No. 1, 18-22 Available online at http://pubs.sciepub.com/jes/2/1/4 Science and Education Publishing DOI:10.12691/jes-2-1-4 Decision Based Median Filter Algorithm

More information

Linear Predictive Coding *

Linear Predictive Coding * OpenStax-CNX module: m45345 1 Linear Predictive Coding * Kiefer Forseth This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 1 LPC Implementation Linear

More information

Applications of Music Processing

Applications of Music Processing Lecture Music Processing Applications of Music Processing Christian Dittmar International Audio Laboratories Erlangen christian.dittmar@audiolabs-erlangen.de Singing Voice Detection Important pre-requisite

More information

Encoding a Hidden Digital Signature onto an Audio Signal Using Psychoacoustic Masking

Encoding a Hidden Digital Signature onto an Audio Signal Using Psychoacoustic Masking The 7th International Conference on Signal Processing Applications & Technology, Boston MA, pp. 476-480, 7-10 October 1996. Encoding a Hidden Digital Signature onto an Audio Signal Using Psychoacoustic

More information

ARM BASED WAVELET TRANSFORM IMPLEMENTATION FOR EMBEDDED SYSTEM APPLİCATİONS

ARM BASED WAVELET TRANSFORM IMPLEMENTATION FOR EMBEDDED SYSTEM APPLİCATİONS ARM BASED WAVELET TRANSFORM IMPLEMENTATION FOR EMBEDDED SYSTEM APPLİCATİONS 1 FEDORA LIA DIAS, 2 JAGADANAND G 1,2 Department of Electrical Engineering, National Institute of Technology, Calicut, India

More information

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1.

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1. DESIGN AND IMPLEMENTATION OF HIGH PERFORMANCE ADAPTIVE FILTER USING LMS ALGORITHM P. ANJALI (1), Mrs. G. ANNAPURNA (2) M.TECH, VLSI SYSTEM DESIGN, VIDYA JYOTHI INSTITUTE OF TECHNOLOGY (1) M.TECH, ASSISTANT

More information

AN AUTOREGRESSIVE BASED LFM REVERBERATION SUPPRESSION FOR RADAR AND SONAR APPLICATIONS

AN AUTOREGRESSIVE BASED LFM REVERBERATION SUPPRESSION FOR RADAR AND SONAR APPLICATIONS AN AUTOREGRESSIVE BASED LFM REVERBERATION SUPPRESSION FOR RADAR AND SONAR APPLICATIONS MrPMohan Krishna 1, AJhansi Lakshmi 2, GAnusha 3, BYamuna 4, ASudha Rani 5 1 Asst Professor, 2,3,4,5 Student, Dept

More information

AUTOMATIC SPEECH RECOGNITION FOR NUMERIC DIGITS USING TIME NORMALIZATION AND ENERGY ENVELOPES

AUTOMATIC SPEECH RECOGNITION FOR NUMERIC DIGITS USING TIME NORMALIZATION AND ENERGY ENVELOPES AUTOMATIC SPEECH RECOGNITION FOR NUMERIC DIGITS USING TIME NORMALIZATION AND ENERGY ENVELOPES N. Sunil 1, K. Sahithya Reddy 2, U.N.D.L.mounika 3 1 ECE, Gurunanak Institute of Technology, (India) 2 ECE,

More information

Iris Recognition-based Security System with Canny Filter

Iris Recognition-based Security System with Canny Filter Canny Filter Dr. Computer Engineering Department, University of Technology, Baghdad-Iraq E-mail: hjhh2007@yahoo.com Received: 8/9/2014 Accepted: 21/1/2015 Abstract Image identification plays a great role

More information

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 49 CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 5.1 INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. The other widely used

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

Isolated Digit Recognition Using MFCC AND DTW

Isolated Digit Recognition Using MFCC AND DTW MarutiLimkar a, RamaRao b & VidyaSagvekar c a Terna collegeof Engineering, Department of Electronics Engineering, Mumbai University, India b Vidyalankar Institute of Technology, Department ofelectronics

More information

Years 9 and 10 standard elaborations Australian Curriculum: Digital Technologies

Years 9 and 10 standard elaborations Australian Curriculum: Digital Technologies Purpose The standard elaborations (SEs) provide additional clarity when using the Australian Curriculum achievement standard to make judgments on a five-point scale. They can be used as a tool for: making

More information

Separation and Recognition of multiple sound source using Pulsed Neuron Model

Separation and Recognition of multiple sound source using Pulsed Neuron Model Separation and Recognition of multiple sound source using Pulsed Neuron Model Kaname Iwasa, Hideaki Inoue, Mauricio Kugler, Susumu Kuroyanagi, Akira Iwata Nagoya Institute of Technology, Gokiso-cho, Showa-ku,

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Synthesis Algorithms and Validation

Synthesis Algorithms and Validation Chapter 5 Synthesis Algorithms and Validation An essential step in the study of pathological voices is re-synthesis; clear and immediate evidence of the success and accuracy of modeling efforts is provided

More information

Measuring the Power Efficiency Of Subthreshold FPGAs For Implementing Portable Biomedical Applications

Measuring the Power Efficiency Of Subthreshold FPGAs For Implementing Portable Biomedical Applications Ryerson University Digital Commons @ Ryerson Theses and dissertations 1-1-2011 Measuring the Power Efficiency Of Subthreshold FPGAs For Implementing Portable Biomedical Applications Shahin S. Lotfabadi

More information

Design of FIR Filter on FPGAs using IP cores

Design of FIR Filter on FPGAs using IP cores Design of FIR Filter on FPGAs using IP cores Apurva Singh Chauhan 1, Vipul Soni 2 1,2 Assistant Professor, Electronics & Communication Engineering Department JECRC UDML College of Engineering, JECRC Foundation,

More information

VLSI Implementation of Image Processing Algorithms on FPGA

VLSI Implementation of Image Processing Algorithms on FPGA International Journal of Electronic and Electrical Engineering. ISSN 0974-2174 Volume 3, Number 3 (2010), pp. 139--145 International Research Publication House http://www.irphouse.com VLSI Implementation

More information

Singing Voice Detection. Applications of Music Processing. Singing Voice Detection. Singing Voice Detection. Singing Voice Detection

Singing Voice Detection. Applications of Music Processing. Singing Voice Detection. Singing Voice Detection. Singing Voice Detection Detection Lecture usic Processing Applications of usic Processing Christian Dittmar International Audio Laboratories Erlangen christian.dittmar@audiolabs-erlangen.de Important pre-requisite for: usic segmentation

More information

Real Time Pulse Pile-up Recovery in a High Throughput Digital Pulse Processor

Real Time Pulse Pile-up Recovery in a High Throughput Digital Pulse Processor Real Time Pulse Pile-up Recovery in a High Throughput Digital Pulse Processor Paul A. B. Scoullar a, Chris C. McLean a and Rob J. Evans b a Southern Innovation, Melbourne, Australia b Department of Electrical

More information

DESIGN AND IMPLEMENTATION OF AN ALGORITHM FOR MODULATION IDENTIFICATION OF ANALOG AND DIGITAL SIGNALS

DESIGN AND IMPLEMENTATION OF AN ALGORITHM FOR MODULATION IDENTIFICATION OF ANALOG AND DIGITAL SIGNALS DESIGN AND IMPLEMENTATION OF AN ALGORITHM FOR MODULATION IDENTIFICATION OF ANALOG AND DIGITAL SIGNALS John Yong Jia Chen (Department of Electrical Engineering, San José State University, San José, California,

More information

Voice Excited Lpc for Speech Compression by V/Uv Classification

Voice Excited Lpc for Speech Compression by V/Uv Classification IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 65-69 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Voice Excited Lpc for Speech

More information

In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics:

In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics: In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics: Links between Digital and Analogue Serial vs Parallel links Flow control

More information

Oscilloscope Measurement Fundamentals: Vertical-Axis Measurements (Part 1 of 3)

Oscilloscope Measurement Fundamentals: Vertical-Axis Measurements (Part 1 of 3) Oscilloscope Measurement Fundamentals: Vertical-Axis Measurements (Part 1 of 3) This article is the first installment of a three part series in which we will examine oscilloscope measurements such as the

More information

Leverage always-on voice trigger IP to reach ultra-low power consumption in voicecontrolled

Leverage always-on voice trigger IP to reach ultra-low power consumption in voicecontrolled Leverage always-on voice trigger IP to reach ultra-low power consumption in voicecontrolled devices All rights reserved - This article is the property of Dolphin Integration company 1/9 Voice-controlled

More information

Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology

Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology Rev1.0 Author: Tung Shen Chew Contents 1 Introduction... 4 1.1 Always-on voice-control is (almost) everywhere... 4 1.2 Introducing

More information

Speech Compression Using Voice Excited Linear Predictive Coding

Speech Compression Using Voice Excited Linear Predictive Coding Speech Compression Using Voice Excited Linear Predictive Coding Ms.Tosha Sen, Ms.Kruti Jay Pancholi PG Student, Asst. Professor, L J I E T, Ahmedabad Abstract : The aim of the thesis is design good quality

More information

THE BENEFITS OF DSP LOCK-IN AMPLIFIERS

THE BENEFITS OF DSP LOCK-IN AMPLIFIERS THE BENEFITS OF DSP LOCK-IN AMPLIFIERS If you never heard of or don t understand the term lock-in amplifier, you re in good company. With the exception of the optics industry where virtually every major

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Serial and Parallel Processing Architecture for Signal Synchronization

Serial and Parallel Processing Architecture for Signal Synchronization Serial and Parallel Processing Architecture for Signal Synchronization Franklin Rafael COCHACHIN HENOSTROZA Emmanuel BOUTILLON July 2015 Université de Bretagne Sud Lab-STICC, UMR 6285 Centre de Recherche

More information

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter Jaya Bar Madhumita Mukherjee Abstract-This paper presents the VLSI architecture of pipeline digital filter.

More information

Winner-Take-All Networks with Lateral Excitation

Winner-Take-All Networks with Lateral Excitation Analog Integrated Circuits and Signal Processing, 13, 185 193 (1997) c 1997 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. Winner-Take-All Networks with Lateral Excitation GIACOMO

More information

FIR Filter Design on Chip Using VHDL

FIR Filter Design on Chip Using VHDL FIR Filter Design on Chip Using VHDL Mrs.Vidya H. Deshmukh, Dr.Abhilasha Mishra, Prof.Dr.Mrs.A.S.Bhalchandra MIT College of Engineering, Aurangabad ABSTRACT This paper describes the design and implementation

More information

A DEVICE FOR AUTOMATIC SPEECH RECOGNITION*

A DEVICE FOR AUTOMATIC SPEECH RECOGNITION* EVICE FOR UTOTIC SPEECH RECOGNITION* ats Blomberg and Kjell Elenius INTROUCTION In the following a device for automatic recognition of isolated words will be described. It was developed at The department

More information

ECEn 487 Digital Signal Processing Laboratory. Lab 3 FFT-based Spectrum Analyzer

ECEn 487 Digital Signal Processing Laboratory. Lab 3 FFT-based Spectrum Analyzer ECEn 487 Digital Signal Processing Laboratory Lab 3 FFT-based Spectrum Analyzer Due Dates This is a three week lab. All TA check off must be completed by Friday, March 14, at 3 PM or the lab will be marked

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C5 - Special A/D converters» Logarithmic conversion» Approximation, A and µ laws» Differential converters» Oversampling, noise shaping Logarithmic

More information

RESIDUE AMPLIFIER PIPELINE ADC

RESIDUE AMPLIFIER PIPELINE ADC RESIDUE AMPLIFIER PIPELINE ADC A direct-conversion ADC designed only with Op-Amps Abstract This project explores the design of a type of direct-conversion ADC called a Residue Amplifier Pipeline ADC. Direct-conversion

More information

Calibration of Microphone Arrays for Improved Speech Recognition

Calibration of Microphone Arrays for Improved Speech Recognition MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com Calibration of Microphone Arrays for Improved Speech Recognition Michael L. Seltzer, Bhiksha Raj TR-2001-43 December 2001 Abstract We present

More information

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers White Paper Abstract This paper presents advances in the instrumentation techniques that can be used for the measurement and

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

Advances in Military Technology Vol. 5, No. 2, December Selection of Mode S Messages Using FPGA. P. Grecman * and M. Andrle

Advances in Military Technology Vol. 5, No. 2, December Selection of Mode S Messages Using FPGA. P. Grecman * and M. Andrle AiMT Advances in Military Technology Vol. 5, No. 2, December 2010 Selection of Mode S Messages Using FPGA P. Grecman * and M. Andrle Department of Aerospace Electrical Systems, University of Defence, Brno,

More information