A 32 Gbps 2048-bit 10GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method

Size: px
Start display at page:

Download "A 32 Gbps 2048-bit 10GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method"

Transcription

1 A 32 Gbps 248-bit GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method Tinoosh Mohsenin and Bevan M. Baas VLSI Computation Lab, ECE Department University of California, Davis

2 Outline Introduction to LDPC Codes and Iterative Decoding Goals and Key Ideas Split-Row Threshold Decoding Method Error Performance Results Multi-Split-Row Threshold Decoder Implementations and Results Conclusion

3 Error Correction in Communication Systems Noise Binary information Encoder (Adding Redundancy) Encoded information Channel Corrupted information with noise Decoder (Error Detection and Correction Corrected information Error correction is widely used in communication systems Low-density parity-check (LDPC) code has been demonstrated to have a very good error correction performance

4 LDPC Code Applications Standards Digital Video Broadcasting (DVB-S2): 25 Gigabit Ethernet (GBASE-T): 26 WiMAX (82.6e) WiFi (82.n) WPANs (82.5.3c) Applications Flash memory Hard disks Deep-space satellite communications

5 LDPC Codes Defined by a large binary matrix, called parity check matrix or H matrix Example (2,6) LDPC code Code length (N)=2 Information length (K)=6 Row weight (W r )=4 Column weight (W c )=2 Row size (No. of parity checks)=6

6 Encoding Picture Example V Parity Image H V i T =

7 Decoding Picture Example Transmitter noise Receiver 5 channel 5 Iterative decoding Ethernet cable, Wireless, or Hard disk Iteration Iteration 5 Iteration 5 Iteration 6

8 Message Passing (Check node processing ) in Initialization λ Check processing α Variable processing Termination check out β SPA α ij = signβij' ϕ ϕ j', hij' =, j,' hij ' =, j' j ( β ) ij' ϕ = log[tanh( x 2 )] MinSum: α ij = signβ j', hij' =, ij' j', h min ij' =, j' j ( β ) ij'

9 Message Passing (Variable node processing ) in λ α β λ β ij = αij' + λ j j', h ij' = is the received information from the channel out

10 Decoding Architectures Serial and partial parallel decoders One or multiple row and column processors, share a few memory banks Throughput in the range of a few Mbps Large memory requirement Chk Mem Var

11 Serial Decoding () initialize memory (clear contents) Chk Mem Var (2) compute V V2 V3 and store V4 V5 V6 V7 V8 V9 V V V2 (3) now compute C C2 C3 and store C4 C5 C6

12 Partial Parallel Decoder Examples Example : 234b, rate-/2, (3,6) decoder [T. Ishikawa et al., ASP DAC, 26] 36 row, 72 column processors, 85 Kb mem 36 mm 2, 8 nm CMOS 53 Mbps 3.6 V Example 2: 648b DVB-S2 Compliant [P.Urad et al., ISSCC, Feb 28] 8 processors, 3.8Mb mem 6.7mm 2, 65 nm CMOS 5 Mbps 36 V mem 36 Row +72 Col mem proc proc mem mem

13 Decoding Architectures- Continued Full-parallel decoders Row and column processors connected according to the parity check matrix Highest throughput, no memory Major challenges Routing congestion Large delay, area, and power caused by long global wires Chk Var Var 2 Chk 2 Var 3 Chk 384 Var 248

14 Full-Parallel Decoding () initialize registers (clear contents) (2) compute C,2,3,4,5,6 Chk Chk 2 Chk 5 (4) Store into registers (3) now compute V,2,3,4,5,6,7,8,9,,,2 Var Var 2 Var 3 Var 2

15 Full-parallel Decoder Examples Example : 24-bit, irregular code, 4 bits per symbol, [A. Blanksby et al., JSSC, Mar 22] 52.5 mm 2, 6 nm CMOS 64 MHz, Gbit/sec 69 V Example 2: 66-bit [A. Darabiha et al., CICC, Sep 27] 9 mm 2,3 nm CMOS 3 MHz, 3.3 Gbps 48 V 256 Col 256 Col 52 Row 256 Col 76 Row + 66 Col 256 Col

16 Outline Introduction to LDPC Codes and Iterative Decoding Goals and Key Ideas Split-Row Threshold Decoding Method Error Performance Results Multi-Split-Row Threshold Decoder Implementations and Results Conclusion

17 LDPC Decoder Design Goals and Features Key goals Very high throughput and high energy efficiency Area efficient (small circuit area) Well suited for long-length and large row weight LDPC codes Easy implementation with automatic CAD tools Good error performance Split-Row decoding key features Reduced interconnect complexity Reduced processor complexity T. Mohsenin and B. Baas, Split-row: A reduced complexity, high throughput LDPC decoder architecture, in ICCD, 26 T. Mohsenin and B. Baas, High-throughput LDPC decoders using a multiple Split- Row method, in ICASSP, 27

18 Standard MinSum vs. Split-Row Decoding Standard MinSum decoding Initialization Check proc H Variable proc C Syndrome check V3 V5 V8 V Split-Row decoding Check proc Sp Variable proc sp Initialization Sign Sp Sign Sp Check proc Sp Variable proc sp H = reduction of input wires to check processor H split-sp H split-sp C sp C sp reduction of check processor area Syndrome check V3 V5 V8 V

19 ( ) ' ', ', ', ', ' min ' ' ij j j h j j j h j ij MS MS ij ij ij sign S β β α = = = ( ) ' ', ', ', ', ' min ' ' ij j j h j j j h j ij Row MS Split Row MS Split ij Row Split ij ij sign S β β α = = = MinSum vs. MinSum Split-Row Sign Magnitude MinSum: MinSum Split-Row:

20 Outline Introduction to LDPC Codes and Iterative Decoding Goals and Key Features Split-Row Threshold Decoding Method Error Performance Results Split-Row Threshold Decoder Implementation and Results Conclusion

21 MinSum Split-Row Threshold Algorithm A signal (Threshold_en) is passed from each partition, which indicates whether a partition has a minimum less than a given threshold (T). Based on Threshold_en status, the check nodes take as their minimum of their own local Min or T. Optimum threshold value (T) is obtained by empirical simulations Threshold_en Sp= Threshold_en Sp= Threshold_en Sp= T T.3.5 Threshold_en Sp= Sp Sp Sp Sp T=.5 T=.5 Mohsenin et al: Asilomar 28, ICC 29, ISCAS 29

22 Impact of Threshold Selection - 5 decoding iterations SNR=4.2 db -2 Bit Error Probability Threshold values Optimum T=.2 SNR 3.2 SNR 3.4 SNR 3.6 SNR 4. SNR 4.2 Bit Error Probability (6,32) (248,723) LDPC Code Iteration 5 Iteration Iteration 5 Iteration Threshold values Optimum T=.2 Optimum threshold (T) is independent of SNR and decoding iteration

23 Outline Introduction to LDPC Codes and Iterative Decoding Goals and Key Features Split-Row Threshold Decoding Method Error Performance Results Multi-Split-Row Threshold Decoder Implementations and Results Conclusion

24 Multi-Split-Row Threshold Decoding Divide parity check matrix to Spn (Spn>2) partitions Partitioning can be arbitrary so long as there are at least two variable nodes per partition Example: (6,32) (248,723) LDPC Code 32/Spn variable nodes

25 Error Performance for (248,723) GBASE-T Code MS Split-Row-2 Threshold is.7 db away from MS MS Split-Row-6 Threshold is.22 db away from MS and is.2 db better than Split-Row-2 Original. Bit Error Probability SPA MS Normalized MS Split-Row-2 Threshold MS Split-Row-4 Threshold MS Split-Row-8 Threshold MS Split-Row-6 Threshold MS Split-Row-2 Original Decoder SNR (db) Split-2 Split-4 Split-8 Split-6 Optimum T db.2 db

26 Outline Introduction to LDPC Codes and Iterative Decoding Goals and Key Features Split-Row Threshold Decoding Method Error Performance Results Multi-Split-Row Threshold Decoder Implementations and Results Conclusion

27 Check Node Processor: Split-Row (original) The check node computes the row update equation Split-Row takes the MinSum check node processor and breaks it into two or more simpler row processors Simplification of comparator tree Number of check node I/Os reduced α β β 2 β n - β n β Wr/Spn - β Wr/Spn α β β 2 β n - β n β Wr/Spn β Wr/Spn Comp Comp Comp Sign (β ) Sign (β wr/spn ) Comp Comp Comp L = log 2 (Wr/Spn) SignSp(i-)_(i) Spn = (no split) Min Min2 SignSp(i+)_(i) = S signβ Index Min Spn = 2 ij(split-row2) ' ' min ij MS MS ij' wires while significantly j', h =, reducing j' j interconnections j', h =, j' j ijmssplit Row= SMSSplit Row j', h =, j' j ij' signβ ij' j', h min ij' Split Row α α Wr/Spn Sign (α ) Sign (α wr/spn ) SignSp(i)_(i+) SignSp(i)_(i-) cost of at most 3 XOR gates and a couple of sign ( β ) =, j' j ij' ( β ) ij'

28 Check Node Proc.: Split-Row Threshold Split-Row s loss of global minima transmission causes poor BER This can be overcome if we compare a Split-Row partition s minima with a well chosen Threshold Small HW overhead 5% increase in area, 7% increase in gate count Negligible effect on local critical path Improved BER.2 db improvement over original Split-Row2 Pseudocode for Threshold algorithm (Split-Row2) T. Mohsenin, P. Urard and B. Baas, A Thresholding Algorithm for Improved Split-Row Decoding of LDPC Codes" Asilomar Conference on Signals, Systems and Computers (ACSSC), October 28.

29 Check Node Proc.: Split-Row Threshold Improved Considering the 2 nd minima (Min2) requires more complex logic Additional HW includes two comparators and new select-mux logic Split-Row2 Threshold Improved BER is.7db from original normalized MinSum Split-Row6 Threshold Improved Check Node Processor area is over x smaller than normalized MinSum at half the latency Min Threshold β β 2 β Wr/2 Min2 Threshold_ensp Comp Comp β β Wr/2 comp comp2 Min Check Node Processor Synthesis Results (65nm) Area (µm 2 ) Gate count Delay (ns) MinSum (MS) MS Split-Row2 (original) MS Split-Row6 (original) MS Split-Row6 Threshold Improved comp comp2 Threshold_ensp IndexMin Min Threshold IndexMin Min Min2 Threshold comp comp comp2 Threshold_ensp α ' α Wr/2 ' α n ' Thresholding Logic α n Thresholding Logic α α Wr/2

30 Check Node Proc.: Multi-Split-Row Threshold Improved

31 Variable Node Processor Based on the column update equation Split-Row leaves this unchanged from the original MinSum and SPA algorithms Variable node hardware complexity complexity is mainly reduced via wordwidth reduction β ij = αij' + λ j j', h ij' = seven 5-bit inputs

32 Multi-Split-Row Threshold Decoder Physical Layout RTL Synthesis Sp Sp Sp2 Sp3 Sp7 Sp6 Sp5 Sp4 Power & Floor plan Sp8 Sp9 Sp Sp Placement Sp5 Sp4 Sp3 Sp2 Clk tree placement Chk Proc Var Proc Route Post route optimization

33 Delay Analysis for Decoders Path: propagation of Threshold_en passing through Spn-2 partitions Path2: delay path through check and variable procs For small Spn the interconnect delay is dominant because of wire interconnect complexity As the number of partitioning increases Path delay increases Critical path delay (ns) interconnect delay gate delay MinSumSplit-2 Split-4 Split-8 Split-6

34 Area Analysis for Decoders In MinSum, the synthesis area deviates significantly from layout area due to low utilization. Area break down per subblock for MinSum and Split-6 7% of MinSum decoder is empty space for wiring Check Proc Var Proc Clk tree+ Regs Wire (empty space) 75% Decoder Area (mm 2 ) % % MinSum MinSum Split-2 Split-4 Split-8 Split-6 4% % 38% layout synthesis 4% % Split-Row6 Threshold

35 Logic Utilization MinSum Variable processor Check processor Registers+buffers SplitRow-6 Threshold one block, area not scaled 65 μm 65 μm

36 Comparison of Decoders (6,32) (248,723) GBASE-T code with decoding iterations. GBASE-T Code 65 nm, 7 M,.3 V MinSum standard Split-2 Threshold Split-4 Threshold Split-8 Threshold Split-6 Threshold Split-6 vs.minsum Area Utilization 25% 4% 83% 86% 89% 3.6x Area (mm 2 ) x Speed (MHz) x iter (Gbps) x CAD Tool CPU Time (hour) > >5.6x

37 Power Analysis for Split-Row6 Decoder Predicted voltage scaling on ST 65nm Region of Standard Operation Power breakdown (under heavy activity) 4% 4% 48% 34% Variable Node Check Node Clock Tree DFFs.69V: 34MHz, 34mW.2V: 48MHz, 444mW.3V: 73MHz, 68mW

38 Early Termination for Split-Row6 Decoder in Energy and throughput at maximum iterations and.2v α β out With early termination a high energy efficiency for a variety of SNRs can be 3.4dB: 6.pJ/bit 4.4dB: 6.9pJ/bit 64.5Gbps

39 Comparison with Previous Work Darabiha [] LDPC Code (4,5) (66,48) Technology 3 nm, - Zhang [2] 65 nm, 7M Liu [3] This work (Split-6) (6,32) (248, 723) 9 nm, 8M 65 nm, 7M Voltage (V) Word length (bit) Utilization 72% 8% 5% 89% Area (mm 2 ) Speed (MHz) Throughput per Area (Gbps/mm 2 ) This work Higher performance smaller energy Zhang [2] Darabiha[] 5 5 Energy per bit (pj/bit) Early Termination Yes Yes No Yes Max Iteration (Imax) Throughput (Gbps) Power (mw) [] A. Darabiha et al., JSSC., 28 [2] Z. Zhang et al., VLSI Symp., 29 [3] L.Liu et al., TCAS I, 28 Energy per bit (pj/bit)

40 Future of LDPC in Deep Submicron CMOS New LDPC codes are being studied and constructed trying to balance theoretical performance and practical hardware realization However, code theorists generally are not concerned with transistor power and area 32nm technology and below present increased restrictions on the freedom of the backend designer, while wire delay is still increasing Must reduce design dependency on low-level optimizations for success The Split-Row technique presents an algorithmic and architectural solution that can be compatible with both future LDPC codes and submicron CMOS technology H = Low-density parity check matrix: N=2 M= (From: Information Theory, Inference, Learning Algorithms, D. MacKay)

41 Conclusion Split-Row reduces VLSI interconnect complexity through message passing reduction on row update Partitioning reduces the number of connections between check and variable processors. This results in higher silicon utilization and smaller and efficient layouts. Threshold algorithm does not reduce the effectiveness of original Split-Row At most two additional Threshold enable wires per row Improved Threshold algorithm increases error performance over original Split-Row Split-Row2:.7 db away from MinSum Normalized Split-Row6:.2 db better than Split-Row2 original Multi-Split Threshold allows us to use full parallel decoding for high speed applications with acceptable error performance loss, high energy efficiency and low V and SNR = 4.4 db: 64.5 Gbps, 444 mw, 7 pj/bit

42 Acknowledgements Support ST Microelectronics NSF Grant 439 and CAREER award Intel SRC GRC Grant 598 and CSR Grant 659 Intellasys UC Micro SEM Special thanks Professor Shu Lin

43 VLSI Computation Lab (VCL) Advisor: Professor Bevan Baas 7 PhD students 6 MS students 3 Undergraduate student Website:

44 VLSI Computation Lab (VCL)

45 Projects in VCL High performance and high energy efficiency Low Density Parity Check (LDPC) Decoders Programmable processors Many-core DSP: AsAP. (36 processors), AsAP 2. (67 processors) Special purpose processors FFT, Viterbi decoder, Applications H.264 Biomedical Applications Circuits Dynamic frequency scaling (DVFS) Algorithms/Architectures LDPC decoding Network on chip

A Complete Real-Time a Baseband Receiver Implemented on an Array of Programmable Processors

A Complete Real-Time a Baseband Receiver Implemented on an Array of Programmable Processors A Complete Real-Time 802.11a Baseband Receiver Implemented on an Array of Programmable Processors ACSSC 2008 Pacific Grove, CA Anh Tran, Dean Truong and Bevan Baas VLSI Computation Lab, ECE Department,

More information

Research Article LDPC Decoder with an Adaptive Wordwidth Datapath for Energy and BER Co-Optimization

Research Article LDPC Decoder with an Adaptive Wordwidth Datapath for Energy and BER Co-Optimization VLSI Design Volume 203, Article ID 9308, 4 pages http://dxdoiorg/055/203/9308 Research Article LDPC Decoder with an Adaptive Wordwidth Datapath for Energy and BER Co-Optimization Tinoosh Mohsenin, Houshmand

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

A GALS Many-Core Heterogeneous DSP Platform with Source-Synchronous On-Chip Interconnection Network

A GALS Many-Core Heterogeneous DSP Platform with Source-Synchronous On-Chip Interconnection Network A GALS Many-Core Heterogeneous DSP Platform with Source-Synchronous On-Chip Interconnection Network Anh Tran, Dean Truong and Bevan Baas University of California, Davis NOCS 09 May 13, 009 Outline Motivation

More information

High-performance Parallel Concatenated Polar-CRC Decoder Architecture

High-performance Parallel Concatenated Polar-CRC Decoder Architecture JOURAL OF SEMICODUCTOR TECHOLOGY AD SCIECE, VOL.8, O.5, OCTOBER, 208 ISS(Print) 598-657 https://doi.org/0.5573/jsts.208.8.5.560 ISS(Online) 2233-4866 High-performance Parallel Concatenated Polar-CRC Decoder

More information

FPGA-Based Design and Implementation of a Multi-Gbps LDPC Decoder

FPGA-Based Design and Implementation of a Multi-Gbps LDPC Decoder FPGA-Based Design and Implementation of a Multi-Gbps LDPC Decoder Alexios Balatsoukas-Stimming and Apostolos Dollas Technical University of Crete Dept. of Electronic and Computer Engineering August 30,

More information

Towards 100G over Copper

Towards 100G over Copper IEEE 8.3 Higher Speed Study Group Towards G over Copper Faculty Investigator: Dr. M. Kavehrad Graduate Researchers: Mr. A. Enteshari Mr. J. Fadlullah The Pennsylvania State University Center for Information

More information

LDPC Decoding: VLSI Architectures and Implementations

LDPC Decoding: VLSI Architectures and Implementations LDPC Decoding: VLSI Architectures and Implementations Module : LDPC Decoding Ned Varnica varnica@gmail.com Marvell Semiconductor Inc Overview Error Correction Codes (ECC) Intro to Low-density parity-check

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Low Power Error Correcting Codes Using Majority Logic Decoding

Low Power Error Correcting Codes Using Majority Logic Decoding RESEARCH ARTICLE OPEN ACCESS Low Power Error Correcting Codes Using Majority Logic Decoding A. Adline Priya., II Yr M. E (Communicasystems), Arunachala College Of Engg For Women, Manavilai, adline.priya@yahoo.com

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

FPGA-BASED DESIGN AND IMPLEMENTATION OF A MULTI-GBPS LDPC DECODER. Alexios Balatsoukas-Stimming and Apostolos Dollas

FPGA-BASED DESIGN AND IMPLEMENTATION OF A MULTI-GBPS LDPC DECODER. Alexios Balatsoukas-Stimming and Apostolos Dollas FPGA-BASED DESIGN AND IMPLEMENTATION OF A MULTI-GBPS LDPC DECODER Alexios Balatsoukas-Stimming and Apostolos Dollas Electronic and Computer Engineering Department Technical University of Crete 73100 Chania,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Reduced-Complexity VLSI Architectures for Binary and Nonbinary LDPC Codes

Reduced-Complexity VLSI Architectures for Binary and Nonbinary LDPC Codes Reduced-Complexity VLSI Architectures for Binary and Nonbinary LDPC Codes A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Sangmin Kim IN PARTIAL FULFILLMENT

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

Performance Evaluation of Low Density Parity Check codes with Hard and Soft decision Decoding

Performance Evaluation of Low Density Parity Check codes with Hard and Soft decision Decoding Performance Evaluation of Low Density Parity Check codes with Hard and Soft decision Decoding Shalini Bahel, Jasdeep Singh Abstract The Low Density Parity Check (LDPC) codes have received a considerable

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

An Efficient 10GBASE-T Ethernet LDPC Decoder Design with Low Error Floors

An Efficient 10GBASE-T Ethernet LDPC Decoder Design with Low Error Floors IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 6, NO., JANUARY 27 An Efficient GBASE-T Ethernet LDPC Decoder Design with Low Error Floors Zhengya Zhang, Member, IEEE, Venkat Anantharam, Fellow, IEEE, Martin

More information

Constellation Shaping for LDPC-Coded APSK

Constellation Shaping for LDPC-Coded APSK Constellation Shaping for LDPC-Coded APSK Matthew C. Valenti Lane Department of Computer Science and Electrical Engineering West Virginia University U.S.A. Mar. 14, 2013 ( Lane Department LDPCof Codes

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India Global Journal of Researches in Engineering: F Electrical and Electronics Engineering Volume 14 Issue 9 Version 1.0 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

The Case for Optimum Detection Algorithms in MIMO Wireless Systems. Helmut Bölcskei

The Case for Optimum Detection Algorithms in MIMO Wireless Systems. Helmut Bölcskei The Case for Optimum Detection Algorithms in MIMO Wireless Systems Helmut Bölcskei joint work with A. Burg, C. Studer, and M. Borgmann ETH Zurich Data rates in wireless double every 18 months throughput

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

LDPC FEC PROPOSAL FOR EPOC. Richard S. Prodan Broadcom Corporation

LDPC FEC PROPOSAL FOR EPOC. Richard S. Prodan Broadcom Corporation LDPC FEC PROPOSAL FOR EPOC Richard S. Prodan Broadcom Corporation 1 LDPC FEC CODES Single rate long LDPC code for all constellations No outer code No bit interleaver Codeword size: 15800 bits 2.5% reduction

More information

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No Wave-Pipelined 2-Slot Time Division Multiplexed () Routing Ajay Joshi Georgia Institute of Technology School of ECE Atlanta, GA 3332-25 Tel No. -44-894-9362 joshi@ece.gatech.edu Jeffrey Davis Georgia Institute

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

VLSI Design for High-Speed Sparse Parity-Check Matrix Decoders

VLSI Design for High-Speed Sparse Parity-Check Matrix Decoders VLSI Design for High-Speed Sparse Parity-Check Matrix Decoders Mohammad M. Mansour Department of Electrical and Computer Engineering American University of Beirut Beirut, Lebanon 7 22 Email: mmansour@aub.edu.lb

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

Ultra Low Power Consumption Military Communication Systems

Ultra Low Power Consumption Military Communication Systems Ultra Low Power Consumption Military Communication Systems Sagara Pandu Assistant Professor, Department of ECE, Gayatri College of Engineering Visakhapatnam-530048. ABSTRACT New military communications

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Punctured vs Rateless Codes for Hybrid ARQ

Punctured vs Rateless Codes for Hybrid ARQ Punctured vs Rateless Codes for Hybrid ARQ Emina Soljanin Mathematical and Algorithmic Sciences Research, Bell Labs Collaborations with R. Liu, P. Spasojevic, N. Varnica and P. Whiting Tsinghua University

More information

A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver

A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver Vadim Smolyakov 1, Dimpesh Patel 1, Mahdi Shabany 1,2, P. Glenn Gulak 1 The Edward S. Rogers

More information

High-Throughput VLSI Implementations of Iterative Decoders and Related Code Construction Problems

High-Throughput VLSI Implementations of Iterative Decoders and Related Code Construction Problems High-Throughput VLSI Implementations of Iterative Decoders and Related Code Construction Problems Vijay Nagarajan, Stefan Laendner, Nikhil Jayakumar, Olgica Milenkovic, and Sunil P. Khatri University of

More information

Digital Television Lecture 5

Digital Television Lecture 5 Digital Television Lecture 5 Forward Error Correction (FEC) Åbo Akademi University Domkyrkotorget 5 Åbo 8.4. Error Correction in Transmissions Need for error correction in transmissions Loss of data during

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Basics of Error Correcting Codes

Basics of Error Correcting Codes Basics of Error Correcting Codes Drawing from the book Information Theory, Inference, and Learning Algorithms Downloadable or purchasable: http://www.inference.phy.cam.ac.uk/mackay/itila/book.html CSE

More information

Next Generation Wireless Communication System

Next Generation Wireless Communication System Next Generation Wireless Communication System - Cognitive System and High Speed Wireless - Yoshikazu Miyanaga Distinguished Lecturer, IEEE Circuits and Systems Society Hokkaido University Laboratory of

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

University of Bristol - Explore Bristol Research. Peer reviewed version. Link to published version (if available): /ICCE.2012.

University of Bristol - Explore Bristol Research. Peer reviewed version. Link to published version (if available): /ICCE.2012. Zhu, X., Doufexi, A., & Koçak, T. (2012). A performance enhancement for 60 GHz wireless indoor applications. In ICCE 2012, Las Vegas Institute of Electrical and Electronics Engineers (IEEE). DOI: 10.1109/ICCE.2012.6161865

More information

K-Best Decoders for 5G+ Wireless Communication

K-Best Decoders for 5G+ Wireless Communication K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Department of Electrical and Computer Engineering Texas A&M University

More information

FPGA based Prototyping of Next Generation Forward Error Correction

FPGA based Prototyping of Next Generation Forward Error Correction Symposium: Real-time Digital Signal Processing for Optical Transceivers FPGA based Prototyping of Next Generation Forward Error Correction T. Mizuochi, Y. Konishi, Y. Miyata, T. Inoue, K. Onohara, S. Kametani,

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS Ms. P. P. Neethu Raj PG Scholar, Electronics and Communication Engineering, Vivekanadha College of Engineering for Women, Tiruchengode, Tamilnadu,

More information

ECE 5325/6325: Wireless Communication Systems Lecture Notes, Spring 2013

ECE 5325/6325: Wireless Communication Systems Lecture Notes, Spring 2013 ECE 5325/6325: Wireless Communication Systems Lecture Notes, Spring 2013 Lecture 18 Today: (1) da Silva Discussion, (2) Error Correction Coding, (3) Error Detection (CRC) HW 8 due Tue. HW 9 (on Lectures

More information

Vector-LDPC Codes for Mobile Broadband Communications

Vector-LDPC Codes for Mobile Broadband Communications Vector-LDPC Codes for Mobile Broadband Communications Whitepaper November 23 Flarion Technologies, Inc. Bedminster One 35 Route 22/26 South Bedminster, NJ 792 Tel: + 98-947-7 Fax: + 98-947-25 www.flarion.com

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

End-To-End Communication Model based on DVB-S2 s Low-Density Parity-Check Coding

End-To-End Communication Model based on DVB-S2 s Low-Density Parity-Check Coding End-To-End Communication Model based on DVB-S2 s Low-Density Parity-Check Coding Iva Bacic, Josko Kresic, Kresimir Malaric Department of Wireless Communication University of Zagreb, Faculty of Electrical

More information

Faster and Low Power Twin Precision Multiplier

Faster and Low Power Twin Precision Multiplier Faster and Low Twin Precision V. Sreedeep, B. Ramkumar and Harish M Kittur Abstract- In this work faster unsigned multiplication has been achieved by using a combination High Performance Multiplication

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Study on AR4JA Code in Deep Space Fading Channel

Study on AR4JA Code in Deep Space Fading Channel 01 7th International ICST Conference on Communications and Networking in China (CHINACOM) Study on AR4JA Code in Deep Space Fading Channel Hui Li 1, Jianan Gao,Mingchuan Yang 1 *, Member, IEEE, Gu Lv 1,

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Reducing the Computation Time in Two s Complement Multipliers A. Hari Priya 1 1 Assistant Professor, Dept. of ECE,

Reducing the Computation Time in Two s Complement Multipliers A. Hari Priya 1 1 Assistant Professor, Dept. of ECE, SSRG International Journal of VLSI & Signal Processing (SSRG-IJVSP) volume 2 Issue 3 Sep to Dec 25 Reducing the Computation Time in Two s Complement Multipliers A. Hari Priya Assistant Professor, Dept.

More information

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA 1. Vijaya kumar vadladi,m. Tech. Student (VLSID), Holy Mary Institute of Technology and Science, Keesara, R.R. Dt. 2.David Solomon Raju.Y,Associate

More information

Performance Optimization of Hybrid Combination of LDPC and RS Codes Using Image Transmission System Over Fading Channels

Performance Optimization of Hybrid Combination of LDPC and RS Codes Using Image Transmission System Over Fading Channels European Journal of Scientific Research ISSN 1450-216X Vol.35 No.1 (2009), pp 34-42 EuroJournals Publishing, Inc. 2009 http://www.eurojournals.com/ejsr.htm Performance Optimization of Hybrid Combination

More information

A New Architecture for Signed Radix-2 m Pure Array Multipliers

A New Architecture for Signed Radix-2 m Pure Array Multipliers A New Architecture for Signed Radi-2 m Pure Array Multipliers Eduardo Costa Sergio Bampi José Monteiro UCPel, Pelotas, Brazil UFRGS, P. Alegre, Brazil IST/INESC, Lisboa, Portugal ecosta@atlas.ucpel.tche.br

More information

A to nj/bit/iteration Scalable 3GPP LTE Turbo Decoder with an Adaptive Sub-Block Parallel Scheme and an Embedded DVFS Engine

A to nj/bit/iteration Scalable 3GPP LTE Turbo Decoder with an Adaptive Sub-Block Parallel Scheme and an Embedded DVFS Engine A 0.077 to 0.168 nj/bit/iteration Scalable GPP LTE Turbo Decoder with an Adaptive Sub-Block Parallel Scheme and an Embedded DVFS Engine The MIT Faculty has made this article openly available. Please share

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

XJ-BP: Express Journey Belief Propagation Decoding for Polar Codes

XJ-BP: Express Journey Belief Propagation Decoding for Polar Codes XJ-BP: Express Journey Belief Propagation Decoding for Polar Codes Jingwei Xu, Tiben Che, Gwan Choi Department of Electrical and Computer Engineering Texas A&M University College Station, Texas 77840 Email:

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs Murat Demirkan* Solid-State Circuits Research Laboratory University of California, Davis *Now with Agilent Technologies, Santa Clara, CA 03/20/2008

More information

International Journal of Computer Engineering and Applications, Volume XI, Issue XI, Nov. 17, ISSN

International Journal of Computer Engineering and Applications, Volume XI, Issue XI, Nov. 17,  ISSN International Journal of Computer Engineering and Applications, Volume XI, Issue XI, Nov. 17, www.ijcea.com ISSN 2321-3469 DESIGN OF DADDA MULTIPLIER WITH OPTIMIZED POWER USING ANT ARCHITECTURE M.Sukanya

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

Low-complexity Low-Precision LDPC Decoding for SSD Controllers

Low-complexity Low-Precision LDPC Decoding for SSD Controllers Low-complexity Low-Precision LDPC Decoding for SSD Controllers Shiva Planjery, David Declercq, and Bane Vasic Codelucida, LLC Website: www.codelucida.com Email : planjery@codelucida.com Santa Clara, CA

More information

3GPP TSG RAN WG1 Meeting #85 R Decoding algorithm** Max-log-MAP min-sum List-X

3GPP TSG RAN WG1 Meeting #85 R Decoding algorithm** Max-log-MAP min-sum List-X 3GPP TSG RAN WG1 Meeting #85 R1-163961 3GPP Nanjing, TSGChina, RAN23 WG1 rd 27Meeting th May 2016 #87 R1-1702856 Athens, Greece, 13th 17th February 2017 Decoding algorithm** Max-log-MAP min-sum List-X

More information

Decoding of Block Turbo Codes

Decoding of Block Turbo Codes Decoding of Block Turbo Codes Mathematical Methods for Cryptography Dedicated to Celebrate Prof. Tor Helleseth s 70 th Birthday September 4-8, 2017 Kyeongcheol Yang Pohang University of Science and Technology

More information

Digital Calibration for Current-Steering DAC Linearity Enhancement

Digital Calibration for Current-Steering DAC Linearity Enhancement Digital Calibration for Current-Steering DAC Linearity Enhancement Faculty of Science and Technology, Division of Electronics & Informatics Gunma University Shaiful Nizam Mohyar, Haruo Kobayashi Gunma

More information

Designing Reliable and Low Power Multiplier by using Algorithmic Noise Tolerant

Designing Reliable and Low Power Multiplier by using Algorithmic Noise Tolerant Designing Reliable and Low Power Multiplier by using Algorithmic Noise Tolerant ROOPA T C #1 HARIPRIYA R #2 #1 PG Student, M.Tech, #2 Assistant Professor, VLSI Design and Embedded Systems, SIET Tumakuru,

More information

MANY integrated circuit applications require a unique

MANY integrated circuit applications require a unique IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 1, JANUARY 2008 69 A Digital 1.6 pj/bit Chip Identification Circuit Using Process Variations Ying Su, Jeremy Holleman, Student Member, IEEE, and Brian

More information

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay 1. K. Nivetha, PG Scholar, Dept of ECE, Nandha Engineering College, Erode. 2.

More information

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers IOSR Journal of Business and Management (IOSR-JBM) e-issn: 2278-487X, p-issn: 2319-7668 PP 43-50 www.iosrjournals.org A Survey on A High Performance Approximate Adder And Two High Performance Approximate

More information

Know your Algorithm! Architectural Trade-offs in the Implementation of a Viterbi Decoder. Matthias Kamuf,

Know your Algorithm! Architectural Trade-offs in the Implementation of a Viterbi Decoder. Matthias Kamuf, Know your Algorithm! Architectural Trade-offs in the Implementation of a Viterbi Decoder Matthias Kamuf, 2009-12-08 Agenda Quick primer on communication and coding The Viterbi algorithm Observations to

More information

A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems

A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems Gangarajaiah, Rakesh; Liu, Liang; Stala, Michal; Nilsson, Peter; Edfors, Ove 013 Link to publication Citation for published

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Combining Modern Codes and Set- Partitioning for Multilevel Storage Systems

Combining Modern Codes and Set- Partitioning for Multilevel Storage Systems Combining Modern Codes and Set- Partitioning for Multilevel Storage Systems Presenter: Sudarsan V S Ranganathan Additional Contributors: Kasra Vakilinia, Dariush Divsalar, Richard Wesel CoDESS Workshop,

More information

AREA AND ENERGY EFFICIENT VLSI ARCHITECTURES FOR LOW-DENSITY PARITY-CHECK DECODERS USING AN ON-THE-FLY COMPUTATION. A Dissertation KIRAN KUMAR GUNNAM

AREA AND ENERGY EFFICIENT VLSI ARCHITECTURES FOR LOW-DENSITY PARITY-CHECK DECODERS USING AN ON-THE-FLY COMPUTATION. A Dissertation KIRAN KUMAR GUNNAM AREA AND ENERGY EFFICIENT VLSI ARCHITECTURES FOR LOW-DENSITY PARITY-CHECK DECODERS USING AN ON-THE-FLY COMPUTATION A Dissertation by KIRAN KUMAR GUNNAM Submitted to the Office of Graduate Studies of Texas

More information

A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs

A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs 1 A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs Mustafijur Rahman, Member, IEEE, K. L. Baishnab, F. A. Talukdar, Member, IEEE Dept. of Electronics & Communication

More information

n Based on the decision rule Po- Ning Chapter Po- Ning Chapter

n Based on the decision rule Po- Ning Chapter Po- Ning Chapter n Soft decision decoding (can be analyzed via an equivalent binary-input additive white Gaussian noise channel) o The error rate of Ungerboeck codes (particularly at high SNR) is dominated by the two codewords

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

ECE 5325/6325: Wireless Communication Systems Lecture Notes, Spring 2013

ECE 5325/6325: Wireless Communication Systems Lecture Notes, Spring 2013 ECE 5325/6325: Wireless Communication Systems Lecture Notes, Spring 2013 Lecture 18 Today: (1) da Silva Discussion, (2) Error Correction Coding, (3) Error Detection (CRC) HW 8 due Tue. HW 9 (on Lectures

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Efficient Implementation of Combinational Circuits Using PTL

Efficient Implementation of Combinational Circuits Using PTL Efficient Implementation of Combinational Circuits Using PTL S. Kiruthiga, Assistant Professor, Sri Krishna College of Technology. S. Vaishnavi, Assistant Professor, Sri Krishna College of Technology.

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Q-ary LDPC Decoders with Reduced Complexity

Q-ary LDPC Decoders with Reduced Complexity Q-ary LDPC Decoders with Reduced Complexity X. H. Shen & F. C. M. Lau Department of Electronic and Information Engineering, The Hong Kong Polytechnic University, Hong Kong Email: shenxh@eie.polyu.edu.hk

More information

NONBINARY low-density parity-check (NB-LDPC)

NONBINARY low-density parity-check (NB-LDPC) IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 9, SEPTEMBER 2015 1783 Simplified Trellis Min Max Decoder Architecture for Nonbinary Low-Density Parity-Check Codes Jesús

More information