EDA for IC System Design, Verification, and Testing

Size: px
Start display at page:

Download "EDA for IC System Design, Verification, and Testing"

Transcription

1 EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A. Grant Martin Tensilica Inc. Santa Clara, California, Taylor &. Francis Taylor &. Francis Group Boca Raton London New York A CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa plc.

2 Contents SECTIONI Introduction 1 Overview Luciano Lavagno, Grant Martin, and Louis Scheffer 1-1 Introduction to Electronic Design Automation for Integrated Circuits 1-2 System Level Design 1-6 Micro-Architecture Design 1-8 Logical Verification 1-8 Test 1-9 RTL to GDS-II, or Synthesis, Place, and Route 1-9 Analog and Mixed-Signal Design 1-11 Physical Verification 1-11 Technology Computer-Aided Design The Integrated Circuit Design Process and Electronic Design Automation Robert Damiano and Raul Camposano Introduction Verification Implementation Design for Manufacturing 2-11 SECTION II System Level Design 3 Tools and Methodologies for System-Level Design Shuvra Bhattacharyya and Wayne Wolf Introduction Characteristics of Video Applications Other Application Domains Platform Characteristics 3-3

3 3.5 Models of Computation and Tools for Model-Based Design Simulation Hardware/Software Cosynthesis Summary System-Level Specification and Modeling Languages Joseph T. Bück Introduction A Survey of Domain-Specific Languages and Methods Heterogeneous Platforms and Methodologies Conclusions SoC Block-Based Design and IP Assembly John Wilson 5_1 5.1 The Economics of Reusable IP and Block-Based Design Standard Bus Interfaces Use of Assertion-Based Verification Use of IP Configurators and Generators The Design Assembly and Verification Challenge The SPIRIT XML Databook Initiative Conclusions Performance Evaluation Methods for Multiprocessor System-on-Chip Design Ahmed Jerraya and Iuliana Bacivarov Introduction Overview of Performance Evaluation in the Context of System Design Flow MPSoC Performance Evaluation Conclusion System-Level Power Management Naehyuck Chang, Enrico Macii, Massimo Poncino, and Vivek Tiwari Introduction Dynamic Power Management Battery-Aware Dynamic Power Management Software-Level Dynamic Power Management Conclusions Processor Modeling and Design Tools Prabhat Mishra and Nikil Dutt Introduction Processor Modeling Using ADLs ADL-Driven Methodologies Conclusions Embedded Software Modeling and Design Marco Di Natale Introduction Synchronous vs. Asynchronous Models Synchronous Models Asynchronous Models 9-16

4 9.5 Research on Models for Embedded Software Conclusions Using Performance Metrics to Select Microprocessor Cores for IC Designs Steve Leibson Introduction The ISS as Benchmarking Platform Ideal Versus Practical Processor Benchmarks Standard Benchmark Types Prehistoric Performance Ratings: MIPS, MOPS, and MFLOPS Classic Processor Benchmarks (The Stone Age) Modern Processor Performance Benchmarks Configurable Processors and the Future of Processor-Core Benchmarks Conclusion Parallelizing High-Level Synthesis: A Code Transformational Approach to High-Level Synthesis Gaurav Singh, Sumit Gupta, Sandeep Shukla, and Rajesh Gupta Introduction Background and Survey of the State of the Art Parallelizing HLS The SPARKPHLS Framework Summary SECTION III Micro-Architecture Design 12 Cycle-Accurate System-Level Modeling and Performance Evaluation Marcello Coppola and Miltos D. Grammatikakis Introduction System Modeling and Design Methodology Back-Annotation of System-Level Modeling Objects Automatic Extraction of Statistical Features Open System-Level Modeling Issues Micro-Architectural Power Estimation and Optimization Enrico Macii, Renu Mehra, and Massimo Poncino Introduction Background Architectural Template Micro-Architectural Power Modeling and Estimation Micro-Architectural Power Optimization Conclusions Design Planning Ralph H.J.M. Otten Introduction Floorplans Wireplans A Formal System For Trade-Offs 14-17

5 SECTION IV Logical Verification 15 Design and Verification Languages Stephen A. Edwards Introduction History Design Languages Verification Languages Conclusions Digital Simulation John Sanguinetti Introduction Event- vs. Process-Oriented Simulation Logic Simulation Methods and Algorithms Impact of Languages on Logic Simulation Logic Simulation Techniques Impact of HVLs on Simulation Summary Using Transactional-Level Models in an SoC Design Flow Alain Clouard, Frank Ghenassia, Laurent Maillet-Contoz, and Jean-Philippe Strassen Introduction Related Work Overview of the System-to-RTL Design Flow TLM A Complementary View for the Design Flow TLM Modeling Application Programming Interface Example of a Multimedia Platform Design Flow Automation Conclusion Assertion-Based Verification Erich Marschner and Harry Foster Introduction History State of the Art Hardware Acceleration and Emulation Ray Turner and Mike Bershteyn Introduction Emulator Architecture Overview Design Modeling Debugging Use Models The Value of In-Circuit Emulation Considerations for Successful Emulation Summary 19-20

6 20 Formal Property Verification Limor Fix and Ken McMillan Introduction Formal Property Verification Methods and Technologies Software Formal Verification Summary SECTION V Test 21 Design-For-Test Bernd Koenemann Introduction The Objectives of Design-For-Test for Microelectronics Products Overview of Chip-Level Design-For-Test Techniques Conclusion Automatic Test Pattern Generation Kwang-Ting (Tim) Cheng and Li-C. Wang Introduction Combinational ATPG Sequential ATPG ATPG and SAT Applications of ATPG High-Level ATPG Analog and Mixed Signal Test Bozena Kaminska Introduction Analog Circuits and Analog Specifications Testability Analysis Fault Modeling and Test Specification Catastrophic Fault Modeling and Simulation Parametric Faults, Worst-Case Tolerance Analysis, and Test Generation Design for Test An Overview Analog Test Bus Standard Oscillation-Based DFT/BIST PLL, VCO, and Jitter Testing Review of Jitter Measurement Techniques Summary Index Index-1

EDA for IC Implementation, Circuit Design, and Process Technology

EDA for IC Implementation, Circuit Design, and Process Technology EDA for IC Implementation, Circuit Design, and Process Technology Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California,

More information

Architecture Design and Validation Methods

Architecture Design and Validation Methods Architecture Design and Validation Methods Springer-Verlag Berlin Heidelberg GmbH Egon Börger (Ed.) Architecture Design and Validation Methods With 175 Figures, Springer Editor Prof. Dr. Egon Börger Universita

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

TRANSACTION LEVEL MODELING WITH SYSTEMC

TRANSACTION LEVEL MODELING WITH SYSTEMC TRANSACTION LEVEL MODELING WITH SYSTEMC Transaction Level Modeling with SystemC TLM Concepts and Applications for Embedded Systems Edited by FRANK GHENASSIA STMicroelectronics, France A C.I.P. Catalogue

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Hardware-Software Codesign. 0. Organization

Hardware-Software Codesign. 0. Organization Hardware-Software Codesign 0. Organization Lothar Thiele 0-1 Overview Introduction and motivation Course synopsis Administrativa 0-2 What is HW-SW Codesign?... integrated design of systems that consist

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

EE382V: Embedded System Design and Modeling

EE382V: Embedded System Design and Modeling EE382V: Embedded System Design and System-Level Design Tools Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu : Outline Overview System-level design

More information

TOWARDS AN UNIFIED APPROACH FOR MODELING AND ANALYSIS OF REAL-TIME EMBEDDED SYSTEMS USING MARTE/UML

TOWARDS AN UNIFIED APPROACH FOR MODELING AND ANALYSIS OF REAL-TIME EMBEDDED SYSTEMS USING MARTE/UML International Journal of Computer Science and Applications, Technomathematics Research Foundation Vol. 12, No. 1, pp. 117 126, 2015 TOWARDS AN UNIFIED APPROACH FOR MODELING AND ANALYSIS OF REAL-TIME EMBEDDED

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

Embedded Systems and Software Validation

Embedded Systems and Software Validation Embedded Systems and Software Validation The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Georgia Institute of Technology The Designer s Guide to VHDL, Second Edition Peter J.

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

EE382V: Embedded System Design and Modeling

EE382V: Embedded System Design and Modeling EE382V: Embedded System Design and - Introduction Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu : Outline Introduction Embedded systems System-level

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

Integrated Power Electronic Converters and Digital Control

Integrated Power Electronic Converters and Digital Control Integrated Power Electronic Converters and Digital Control Ali Emadi * Alireza Khaligh Zhong Nie Young Joo Lee Q\ CRC Press / Taylor &.Francis Group Boca Raton London New York CRC Press is an imprint of

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION Shunan Mu, Guoqing Pan, Zhihao Tian and Jiancheng Feng Beijing Aerospace Measurement and Control Technology Co., LTD., Beijing,

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Princeton University

The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Princeton University NETWORKS ON CHIPS The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Princeton University The rapid growth of silicon technology and the demands of applications are increasingly

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr

More information

FORMAL METHODS AND MODELS FOR SYSTEM DESIGN

FORMAL METHODS AND MODELS FOR SYSTEM DESIGN FORMAL METHODS AND MODELS FOR SYSTEM DESIGN Formal Methods and Models for System Design A System Level Perspective Edited by Rajesh Gupta University 0/ California at San Diego Paul Le Guernic INRIA-IRISA

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Visvesvaraya Technological University, Belagavi

Visvesvaraya Technological University, Belagavi Time Table for M.TECH. Examinations, June / July 2017 M. TECH. 2010 Scheme 2011 Scheme 2012 Scheme 2014 Scheme 2016 Scheme [CBCS] Semester I II III I II III I II III I II IV I II Time Date, Day 14/06/2017,

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

MEDEA+ and Embedded Systems

MEDEA+ and Embedded Systems MEDEA+ and Embedded Systems ARTEMIS Annual Conference 2005 Paris Σ! 2365 Jürgen Deutrich Vice Chaiman of the Board MEDEA+ Applications ARTEMIS ANNUAL CONFERENCE 2005 1. About MEDEA+ 2. MEDEA+ Projects

More information

Hybrid System Level Power Consumption Estimation for FPGA-Based MPSoC

Hybrid System Level Power Consumption Estimation for FPGA-Based MPSoC Hybrid System Level Power Consumption Estimation for FPGA-Based MPSoC Santhosh Kumar RETHINAGIRI, Rabie BEN ATITALLAH, Smail NIAR, Eric SENN, and Jean-Luc DEKEYSER INRIA Lille Nord Europe, Université de

More information

SEM-1 (17D06101) STRUCTURED DIGITAL SYSTEM DESIGN L T P C. CO2:Provide solution to overcome short channel issues. (17D57102) CMOS ANALOG IC DESIGN

SEM-1 (17D06101) STRUCTURED DIGITAL SYSTEM DESIGN L T P C. CO2:Provide solution to overcome short channel issues. (17D57102) CMOS ANALOG IC DESIGN SEM-1 (17D06101) STRUCTURED DIGITAL SYSTEM DESIGN CO1:Understand structural functionality of different digital blocks CO2:Represent and Realize their designs in ASM charts CO3:Represent their designs in

More information

AUDIO EfFECTS. Theory, Implementation. and Application. Andrew P. MePkerson. Joshua I. Relss

AUDIO EfFECTS. Theory, Implementation. and Application. Andrew P. MePkerson. Joshua I. Relss AUDIO EfFECTS Theory, and Application Joshua I. Relss Queen Mary University of London, United Kingdom Andrew P. MePkerson Queen Mary University of London, United Kingdom /0\ CRC Press yc**- J Taylor& Francis

More information

Statement of Research Weiwei Chen

Statement of Research Weiwei Chen Statement of Research Weiwei Chen Embedded computer systems are ubiquitous and pervasive in our modern society with a wide application domain, such as automotive and avionic systems, electronic medical

More information

Sliding Mode Control. Switching Power Converters

Sliding Mode Control. Switching Power Converters Sliding Mode Control of Switching Power Converters Techniques and Implementation Siew-Chong Tan Yuk-Ming Lai Chi Kong Tse Lap) CRC Press \V / Taylor & Francis Group Boca Raton London New York CRC Press

More information

What is a Simulation? Simulation & Modeling. Why Do Simulations? Emulators versus Simulators. Why Do Simulations? Why Do Simulations?

What is a Simulation? Simulation & Modeling. Why Do Simulations? Emulators versus Simulators. Why Do Simulations? Why Do Simulations? What is a Simulation? Simulation & Modeling Introduction and Motivation A system that represents or emulates the behavior of another system over time; a computer simulation is one where the system doing

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution?

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Rolf Drechsler University of Bremen/DFKI Germany drechsle@informatik.uni-bremen.de Christophe Chevallaz STMicroelectronics Grenoble,

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka

Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Abstract Virtual prototyping is becoming increasingly important to embedded software developers, engineers, managers

More information

POWER- SWITCHING CONVERTERS Medium and High Power

POWER- SWITCHING CONVERTERS Medium and High Power POWER- SWITCHING CONVERTERS Medium and High Power By Dorin O. Neacsu Taylor &. Francis Taylor & Francis Group Boca Raton London New York CRC is an imprint of the Taylor & Francis Group, an informa business

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER

SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER SECOND EDITION MUHAMMAD H. RASHID University of West Florida Pensacola, Florida, U.S.A. HASAN M. RASHID University of Florida Gainesville, Florida, U.S.A.

More information

An Overview of Computer Architecture and System Simulation

An Overview of Computer Architecture and System Simulation An Overview of Computer Architecture and System Simulation J. Manuel Colmenar José L. Risco-Martín and Juan Lanchares C.E.S. Felipe II Dept. of Computer Architecture and Automation U. Complutense de Madrid

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Séminaire Supélec/SCEE

Séminaire Supélec/SCEE Séminaire Supélec/SCEE Models driven co-design methodology for SDR systems LECOMTE Stéphane Directeur de thèse PALICOT Jacques Co-directeur LERAY Pierre Encadrant industriel GUILLOUARD Samuel Outline Context

More information

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis,

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, TM November 2012 Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, mobilegt, PowerQUICC, Processor Expert, QorIQ,

More information

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL Khalid B. Suliman 1, Rashid A. Saeed and Raed A. Alsaqour 3 1 Department of Electrical and Electronic Engineering,

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

At the end of this course, students should be able to: 1 explain experimental results with theoretical expected outcome

At the end of this course, students should be able to: 1 explain experimental results with theoretical expected outcome COURSE NAME ELECTRONIC FUNDAMENTAL LABORATORY 1 COURSE CODE BENC 1711 COURSE SYNOPSIS This course covers topics in BENE 1133 Principle of Electric and BENT 2133 Electric Circuit Analysis with the following

More information

Verification Futures The Next 5 Years

Verification Futures The Next 5 Years Verification Futures The Next 5 Years Tuesday, 15 th November 2011 Hilton Hotel, Reading The FREE conference will look at the challenges in verification and how these will change over the next 5 years,

More information

A Framework for Fast Hardware-Software Co-simulation

A Framework for Fast Hardware-Software Co-simulation A Framework for Fast Hardware-Software Co-simulation Andreas Hoffmann, Tim Kogel, Heinrich Meyr Integrated Signal Processing Systems (ISS), RWTH Aachen Templergraben 55, 52056 Aachen, Germany hoffmann[kogel,meyr]@iss.rwth-aachen.de

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

B. Tech. Degree ELECTRONICS AND COMMUNICATION ENGINEERING

B. Tech. Degree ELECTRONICS AND COMMUNICATION ENGINEERING B. Tech. Degree IN ELECTRONICS AND COMMUNICATION ENGINEERING SYLLABUS FOR CREDIT BASED CURRICULUM (2014-2018) DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING NATIONAL INSTITUTE OF TECHNOLOGY TIRUCHIRAPPALLI

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. High-speed low-power 2D DCT Accelerator EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. Mingoo Seok Project Goal Project Goal Execute a full VLSI design

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing

Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing Imran Rafiq Quadri, Abdoulaye Gamatié, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Abdoulaye

More information

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES A. MOLINA and O. CADENAS Computer Architecture Department, Universitat Politècnica de Catalunya, Barcelona, Spain amolina@ac.upc.edu School of System

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Approximating Computation and Data for Energy Efficiency

Approximating Computation and Data for Energy Efficiency Approximating Computation and Data for Energy Efficiency Daniele Jahier Pagliari EDA Group Politecnico di Torino Torino, Italy 1st IWES September 20th, 2016, Pisa, Italy Outline Error Tolerance and Approximate

More information

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator Elaina Chai, Ivan Celanovic Institute for Soldier Nanotechnologies Massachusetts Institute

More information

DIGITAL SIGNAL PROCESSING LABORATORY

DIGITAL SIGNAL PROCESSING LABORATORY DIGITAL SIGNAL PROCESSING LABORATORY SECOND EDITION В. Preetham Kumar CRC Press Taylor & Francis Group Boca Raton London New York CRC Press is an imprint of the Taylor & Francis Croup, an informa business

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Domain: Computer Science and Information Technology Curricula for the First Year (2012/2013)

Domain: Computer Science and Information Technology Curricula for the First Year (2012/2013) Curricula for the First Year (2012/2013) Type/e F Mathematics 1 3 2 - - E - - - - - 5 F Mathematics 2 3 2 - - E - - - - - 5 F Computer programming 2-2 - E - - - - - 5 D Introduction to operating systems

More information

Interested candidates, please send your resumes to and indicate the job title in subject field.

Interested candidates, please send your resumes to and indicate the job title in subject field. Senior/Test Engineer Responsible for preparing the Production Testpackages (Hardware and Software), and Qualification Testprograms Prepares test specifications and hardware (Probecard, Loadboard) design

More information

ON THE CONCEPT OF DISTRIBUTED DIGITAL SIGNAL PROCESSING IN WIRELESS SENSOR NETWORKS

ON THE CONCEPT OF DISTRIBUTED DIGITAL SIGNAL PROCESSING IN WIRELESS SENSOR NETWORKS ON THE CONCEPT OF DISTRIBUTED DIGITAL SIGNAL PROCESSING IN WIRELESS SENSOR NETWORKS Carla F. Chiasserini Dipartimento di Elettronica, Politecnico di Torino Torino, Italy Ramesh R. Rao California Institute

More information

Software-Centric and Interaction-Oriented System-on-Chip Verification

Software-Centric and Interaction-Oriented System-on-Chip Verification THE UNIVERSITY OF ADELAIDE Software-Centric and Interaction-Oriented System-on-Chip Verification by Xiao Xi Xu B.E. (Automatic Control) Shanghai Jiao Tong University, China, 1996 A thesis submitted for

More information

Original Research Articles

Original Research Articles Original Research Articles Researchers Vijaya Kumar P, Rajesh V Department of ECE, Faculty of Engineering & Technology. SRM University, Chennai Email- vijay_at23@rediffmail.com vrajesh@live.in On-Chip

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

FOR SEMICONDUCTORS 2005 EDITION DESIGN

FOR SEMICONDUCTORS 2005 EDITION DESIGN INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION DESIGN THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica Elettronica spa cerca: - Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica - Machine Learning Engineer con Laurea Magistrale in Informatica, Elettronica o Telecomunicazioni

More information

Design in the Late-Silicon Age

Design in the Late-Silicon Age Design in the Late-Silicon Age Jan M. Rabaey University of California @ Berkeley Director MARCO Gigascale System Research Center DUSD(Labs) History Proceeds along Ages 280M 12M Permian Triasic Jurassic

More information

Flexibility, Speed and Accuracy in VLIW Architectures Simulation and Modeling

Flexibility, Speed and Accuracy in VLIW Architectures Simulation and Modeling Flexibility, Speed and Accuracy in VLIW Architectures Simulation and Modeling IVANO BARBIERI, MASSIMO BARIANI, ALBERTO CABITTO, MARCO RAGGIO Department of Biophysical and Electronic Engineering University

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

PLATEFORME SYSTEMES EMBARQUES

PLATEFORME SYSTEMES EMBARQUES PLATEFORME SYSTEMES EMBARQUES contact.dacle@cea.fr www.cea.fr & & CEA. All rights reserved DACLE Division 2013 2 V1,0» 16 000 employees» 10 research centers» 4 regional extensions» Budget of 4.3 billion»

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Practical Concurrent ASIC and System Design and Verification

Practical Concurrent ASIC and System Design and Verification Practical Concurrent ASIC and System Design and Verification Ian Gibson and Chris Amies Canon Information Systems Research Australia PO Box 313, North Ryde, NSW 2113, AUSTRALIA gibbo@research.canon.com.au

More information

Design for Reliability --

Design for Reliability -- Design for Reliability -- From Self-Test to Self-Recovery Tim Cheng Electrical and Computer Engineering University of California, Santa Barbara Increasing Failure Sources and Failure Rates design errors

More information