Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka

Size: px
Start display at page:

Download "Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka"

Transcription

1 Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Abstract Virtual prototyping is becoming increasingly important to embedded software developers, engineers, managers and marketing teams to enable concurrent hardware and software development. The software teams need an extremely fast execution virtual prototype to complete software development as early as possible in the product development life cycle before the silicon is available. The fast execution of virtual prototypes depends on their simulation performance. This paper introduces a method to optimize the simulation performance of virtual prototypes. This method uses the technique of parallelizing the simulation. The rate at which the simulation speed gets increased is also discussed in the paper. Index Terms Concurrent hardware and software development, Optimization, Parallelizing, Simulation performance, Virtual prototyping I. INTRODUCTION In Today s embedded systems, the number of cores is increasing rapidly to add additional performance [1]. The software coding complexity is increasing with the increase in number of cores. And the software necessary for the multi-processor systems is becoming highly interactive The increasing coding complexity and development costs are making the software development an essential and large part of a chip design. Accordingly, System on Chip (SoC) design teams needs to spend more time writing software than building hardware. With multi-core chip sets and with the volume of software to be developed, the software development needs to start as early as when the chip is specified. Virtual prototypes (VPs) are one of the tools that software engineers are increasingly turning to for some of the solutions that they need to test and debug the software and in some cases virtual prototypes are the only solutions that can provide an answer. Even the real hardware cannot address some of them. Virtual prototypes can be made available just a few weeks into the project schedule, which allows the software team to begin porting operating systems and developing device drivers without having to wait for the hardware team to write a single line of Register-Transfer-Level (RTL) code [2]. Virtual prototypes should be fast enough for the software development to get completed much before the silicon availability. As a result, optimization of simulation performance of virtual prototypes has become an increasingly important area to be explored. The fast execution platform helps in reducing the time for testing and debugging the complex software. II. PERFORMANCE OF VIRTUAL PROTOTYPES One of the parameters that affect the performance of a virtual prototype is its simulation speed. The simulation speed of virtual platform depends on many factors like Host machine and cache, ISS speed, abstraction level, temporal accuracy, coding style, compiler, design complexity, cache/mmu models etc. It is likely that factors such as cache/memory Management Unit (MMU), Instruction Set Simulator (ISS) speed and compiler are predetermined for a Host machine and are unmodified. The design complexity and temporal accuracy depend on VP model development and are likely to be unavoidable [1]. Hence, a new methodology is to be implemented to improve the performance of virtual platform. III. TRADITIONAL METHODS The simulation speed of virtual prototypes has been increased by implementing following methods. A. Improve speed of the simulator This method increases simulation speed by providing a faster execution engine. The speed of execution of simulator is fixed for a specific computing platform. 391

2 B. Run less simulation One of the most interesting concepts in SystemC TLM-2.0 is the concept of Direct Memory Interface (DMI) [3]. The great feature of Seamless was to simulate less by using backdoor memory accesses to skip simulation of bus transactions. DMI used with SystemC simulation falls into the category of run faster by simulating less. It uses direct access to memory data (via pointer dereferences) and avoids the overhead of function calls to retrieve data from memory and peripheral models. In the 1990's, co-verification tools used back door memory accesses to avoid Verilog and VHDL bus transactions. SystemC TLM-2.0 does not use detailed bus protocols at the signal level; it uses C++ function calls between models. On the surface, using function calls sounds pretty fast compared to using a signal-based bus model with clock, bus request, grant, address phase, data phase, etc. With DMI, the simulation runs at a speed in which the simulated time is about equal to the wall clock time. The reason for such a high speed is that using TLM-2.0 function calls forces the CPU to break out of its blazing fast execution for all instructions that access memory. This cripples the entire effort processor model creators put into making the instruction translation so fast. It also demonstrates that even function calls take time when billions of them are required to run 2.5 billion instructions. Simulating invisible activity is a waste of time. Of course, simulating less also has drawbacks. One difficulty of DMI is that it is so abstract that there is no visibility into what is happening. In fact, DMI is pretty much invisible. Nothing is visible when the simulation is running. This leads to the second challenge that simulations using DMI can be hard to debug. If the setup is not correct, strange things can happen.. If the transactions which set up the DMI address ranges are not done correctly, the result can be very ugly. The end result is memory corruption that is hard to identify. To help with debugging, the ability to monitor DMI activity and print the DMI memory map is very useful. A new method is going to be discussed in this paper which avoids the disadvantages of traditional methods for speed optimization. This method uses the techniques of parallelizing the simulation. IV. PARALLEL SIMULATION The concept of parallel simulation is proposed for partitioning the virtual platform into two executables. The two executables are run in parallel and communication is established between these two executables through Inter Process Communication (IPC) mechanism [4]. IPC facilitates the division of labor between the two executables making them run separately. Parallel simulation allows two simulations to run individually and uses IPC mechanism to enable data sharing when synchronization is needed between two simulations. TCP/IP socket API can be used for incorporating IPC between two simulations [5]. Virtual platforms are developed in systemc language which supports single threaded mechanism where the flow of execution is sequential [6]. Parallel simulation is suitable for a multi-processor system with single simulation kernel where the processors stay in idle state waiting for their turn of execution to occur (till other processors finish their task). The simulation speed advantage can be gained for a multi-core system by making the cores run individually [7], [8]. Parallel simulation is not suitable in cases where there is more number of synchronization points during the course of simulation. In such cases, the usage of parallel simulation incurs overhead (as data transfer takes more time with parallel simulation than unparalleled simulation) reducing the speed of simulation. The overhead is due to the fact that data has to pass through additional components such as connector blocks/wrappers incorporated for enabling communication between simulations running in parallel. A. Applicability of Parallel Simulation Parallel Simulation works at its best when 1) The subsystems are loosely coupled 2) Inter-subsystem interface has following characteristics 392

3 i. Asynchronous behavior ii. Low traffic Speedup depends on parallelism between subsystems. If characteristics are not met, applying parallel simulation method for speed optimization may not pay off. The platform could slow down and not work; communication latency may break synchronous interfaces B. IPC through TCP/IP Socket API TCP/IP socket API is used to connect one VP to another VP/application running on same/different operating system (Windows/LINUX). It has its own interface classes to make the communication possible between two VPs. It is implemented in C++. It uses client-server mechanism. One VP acts as client and the other as server. Parallel simulation supports TCP/IP, named pipe and shared memory depending on the type of data being transferred. Fig.1 Unparalleled Virtual platform The Fig.1 shows monolithic systemc simulation of virtual platform running as a single simulation thread. The processes are run one after the other. The processes are directly connected to each other. In the context of parallel simulation, the platform is divided into sub systems/processes and subsystems are run in parallel as shown in Fig.2. The communication between these processes is established using TCP/IP socket API. The connector block/wrapper acts as user API. The connector block of one subsystem is connected to the connector block of other subsystem through TCP/IP scoket API. Connector blocks consist of input/output ports, TLM sockets and a clock. They implement the methods that are declared in the TCP/IP socket API to send/receive data/messages to/from other connector blocks. TCP/IP socket API converts the transactions such as read/write into messages that can be sent or received though IPC mechanism over a TCP/IP socket or a named pipe. Fig.2 Parallel Simulation 393

4 C. Benefits of parallel simulation 1) Leverages multi core host machines for speed 2) Existing models can be used without modifications V. RESULTS The method of parallel simulation has been implemented for a virtual prototype of an embedded system. Fig.3 shows relative speed up with the help of parallel simulation. The data exchange between two simulations happens till time point A and from time point B to time point C. There is no exchange of data from A to B. In the graph, the thick line represents the simulation without parallelization and the thin line represents parallel simulation. The slope of the graph for a given period indicates the speed of simulation during that period. Lesser the slope more the speed of simulation i.e. the real time or wall time should be less for a given period of simulation. Fig.3 Relative speed up with parallel simulation From the graph, it is observed that the slope is less between time points A and B indicating that the simulation speed is high during this period of simulation. The overall speed achieved through parallel simulation is 2.5 of that of unparalleled simulation. VI. CONCLUSION The proposed method has been experimented in view of optimizing the performance of virtual prototypes. From Results, we can conclude that the proposed method will contribute 2.5 times of speed improvement. The proposed method enables to simulate complex virtual platforms in a faster and more effective way and hence the software/firmware development will be faster. This methodology enables leading semiconductor and electronics companies to deliver more competitive and higher quality products up to 12 months faster. REFERENCES [1] Bryan Schauer Multicore Processors A Necessity released September 2008 [online]. Available: [2] Arjen Damstra Virtual prototyping through co-simulation in hardware/software and mechatronics co-design released on April [3] OSCI TLM-2.0 Language Reference Manual, Software version: TLM 2.0.1, Document version: JA32. [4] Inter Process Communication [online]. Available: [5] Rajinder Yadav Client / Server Programming with TCP/IP Sockets released on Sept 9, 2007 Revision: Mar 11, [6] IEEE Standard SystemC Language Reference Manual, IEEE Computer Society Sponsored by the Design Automation Standards Committee. 394

5 [7] Jose J.Blanco-Pillado, Ken D.Olum, and Benjamin Shlaer A new parallel simulation technique Submitted on 17 Nov 2010 [online]. Available: [8] Jason R. Ghidella1, Amory Wakefield2, Silvina Grad-Freilich3, Jon Friedman4 and Vinod Cherian5 The Use of Computing Clusters and Automatic Code Generation to Speed up Simulation Tasks The Math Works, Inc. Natick, MA, [online].available: AUTHOR BIOGRAPHY Sammidi Mounika Industrial Electronics, M.Tech, Sri Jayachamarajendra College of Engineering, Mysore, Karnataka, India. B S Renuka Associate Professor, Department of Electronics and Communications Engineering, Sri Jayachamarajendra College of Engineering, Mysore, Karnataka, India 395

SW simulation and Performance Analysis

SW simulation and Performance Analysis SW simulation and Performance Analysis In Multi-Processing Embedded Systems Eugenio Villar University of Cantabria Context HW/SW Embedded Systems Design Flow HW/SW Simulation Performance Analysis Design

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

A Framework for Fast Hardware-Software Co-simulation

A Framework for Fast Hardware-Software Co-simulation A Framework for Fast Hardware-Software Co-simulation Andreas Hoffmann, Tim Kogel, Heinrich Meyr Integrated Signal Processing Systems (ISS), RWTH Aachen Templergraben 55, 52056 Aachen, Germany hoffmann[kogel,meyr]@iss.rwth-aachen.de

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

An Integrated Modeling and Simulation Methodology for Intelligent Systems Design and Testing

An Integrated Modeling and Simulation Methodology for Intelligent Systems Design and Testing An Integrated ing and Simulation Methodology for Intelligent Systems Design and Testing Xiaolin Hu and Bernard P. Zeigler Arizona Center for Integrative ing and Simulation The University of Arizona Tucson,

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

EE382V: Embedded System Design and Modeling

EE382V: Embedded System Design and Modeling EE382V: Embedded System Design and - Introduction Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu : Outline Introduction Embedded systems System-level

More information

Saphira Robot Control Architecture

Saphira Robot Control Architecture Saphira Robot Control Architecture Saphira Version 8.1.0 Kurt Konolige SRI International April, 2002 Copyright 2002 Kurt Konolige SRI International, Menlo Park, California 1 Saphira and Aria System Overview

More information

Recent Advances in Simulation Techniques and Tools

Recent Advances in Simulation Techniques and Tools Recent Advances in Simulation Techniques and Tools Yuyang Li, li.yuyang(at)wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download Abstract: Simulation refers to using specified kind

More information

EE382V-ICS: System-on-a-Chip (SoC) Design

EE382V-ICS: System-on-a-Chip (SoC) Design EE38V-CS: System-on-a-Chip (SoC) Design Hardware Synthesis and Architectures Source: D. Gajski, S. Abdi, A. Gerstlauer, G. Schirner, Embedded System Design: Modeling, Synthesis, Verification, Chapter 6:

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6.

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6. Faculty of Information Engineering & Technology The Communications Department Course: Advanced Communication Lab [COMM 1005] Lab 6.0 NI USRP 1 TABLE OF CONTENTS 2 Summary... 2 3 Background:... 3 Software

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI

System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.4, DECEMBER, 2005 229 System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI Jong-Eun Lee*, Woo-Cheol

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

An architecture for Scalable Concurrent Embedded Software" No more communication in your program, the key to multi-core and distributed programming.

An architecture for Scalable Concurrent Embedded Software No more communication in your program, the key to multi-core and distributed programming. An architecture for Scalable Concurrent Embedded Software" No more communication in your program, the key to multi-core and distributed programming. Eric.Verhulst@altreonic.com www.altreonic.com 1 Content

More information

Processors Processing Processors. The meta-lecture

Processors Processing Processors. The meta-lecture Simulators 5SIA0 Processors Processing Processors The meta-lecture Why Simulators? Your Friend Harm Why Simulators? Harm Loves Tractors Harm Why Simulators? The outside world Unfortunately for Harm you

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

2014, IJARCSSE All Rights Reserved Page 459

2014, IJARCSSE All Rights Reserved Page 459 Volume 4, Issue 9, September 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Verilog Implementation

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

EE382V: Embedded System Design and Modeling

EE382V: Embedded System Design and Modeling EE382V: Embedded System Design and System-Level Design Tools Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu : Outline Overview System-level design

More information

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor RAAR Processor: The Digital Image Processor Raghumanohar Adusumilli 1, Mahesh.B.Neelagar 2 1 VLSI Design and Embedded Systems, Visvesvaraya Technological University, Belagavi Abstract Image processing

More information

SM 4117 Virtual Reality Assignment 2 By Li Yiu Chong ( )

SM 4117 Virtual Reality Assignment 2 By Li Yiu Chong ( ) SM 4117 Virtual Reality Assignment 2 By Li Yiu Chong (50262340) In this essay I would analyze the environment of driving game under a network. The analysis will be base on 3D driving game of decentralized

More information

CSTA K- 12 Computer Science Standards: Mapped to STEM, Common Core, and Partnership for the 21 st Century Standards

CSTA K- 12 Computer Science Standards: Mapped to STEM, Common Core, and Partnership for the 21 st Century Standards CSTA K- 12 Computer Science s: Mapped to STEM, Common Core, and Partnership for the 21 st Century s STEM Cluster Topics Common Core State s CT.L2-01 CT: Computational Use the basic steps in algorithmic

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

A Study of Optimal Spatial Partition Size and Field of View in Massively Multiplayer Online Game Server

A Study of Optimal Spatial Partition Size and Field of View in Massively Multiplayer Online Game Server A Study of Optimal Spatial Partition Size and Field of View in Massively Multiplayer Online Game Server Youngsik Kim * * Department of Game and Multimedia Engineering, Korea Polytechnic University, Republic

More information

Evolution of Software-Only-Simulation at NASA IV&V

Evolution of Software-Only-Simulation at NASA IV&V Evolution of Software-Only-Simulation at NASA IV&V http://www.nasa.gov/centers/ivv/jstar/itc.html Justin McCarty Justin.McCarty@TMCTechnologies.com Justin Morris Justin.R.Morris@Nasa.gov Scott Zemerick

More information

ACCELERATE SOFTWARE DEVELOPMENT WITH CONTINUOUS INTEGRATION AND SIMULATION

ACCELERATE SOFTWARE DEVELOPMENT WITH CONTINUOUS INTEGRATION AND SIMULATION ACCELERATE SOFTWARE DEVELOPMENT WITH CONTINUOUS INTEGRATION AND SIMULATION A How-to Guide for Embedded Development WHEN IT MATTERS, IT RUNS ON WIND RIVER EXECUTIVE SUMMARY Adopting the practice of Continuous

More information

Introduction (concepts and definitions)

Introduction (concepts and definitions) Objectives: Introduction (digital system design concepts and definitions). Advantages and drawbacks of digital techniques compared with analog. Digital Abstraction. Synchronous and Asynchronous Systems.

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

Bridge RF Design and Test Applications with NI SDR Platforms

Bridge RF Design and Test Applications with NI SDR Platforms Bridge RF Design and Test Applications with NI SDR Platforms Jason Strydom Application Engineer National Instruments - Midrand The National Instruments Vision To do for test and measurement what the spreadsheet

More information

Real-Time Face Detection and Tracking for High Resolution Smart Camera System

Real-Time Face Detection and Tracking for High Resolution Smart Camera System Digital Image Computing Techniques and Applications Real-Time Face Detection and Tracking for High Resolution Smart Camera System Y. M. Mustafah a,b, T. Shan a, A. W. Azman a,b, A. Bigdeli a, B. C. Lovell

More information

(VE2: Verilog HDL) Software Development & Education Center

(VE2: Verilog HDL) Software Development & Education Center Software Development & Education Center (VE2: Verilog HDL) VLSI Designing & Integration Introduction VLSI: With the hardware market booming with the rise demand in chip driven products in consumer electronics,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 3, MARCH

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 3, MARCH IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 3, MARCH 2009 427 Power Management of Voltage/Frequency Island-Based Systems Using Hardware-Based Methods Puru Choudhary,

More information

Blackfin Online Learning & Development

Blackfin Online Learning & Development Presentation Title: Introduction to VisualDSP++ Tools Presenter Name: Nicole Wright Chapter 1:Introduction 1a:Module Description 1b:CROSSCORE Products Chapter 2: ADSP-BF537 EZ-KIT Lite Configuration 2a:

More information

Statement of Research Weiwei Chen

Statement of Research Weiwei Chen Statement of Research Weiwei Chen Embedded computer systems are ubiquitous and pervasive in our modern society with a wide application domain, such as automotive and avionic systems, electronic medical

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

A virtual On Board Control Unit for system tests

A virtual On Board Control Unit for system tests A virtual On Board Control Unit for system tests Ove Kalkan (ove.kalkan@ese.de) test4rail, 17.10.2017, Braunschweig Agenda Introduction: - What is an OBCU - System Test Approach Virtualization - Approach

More information

Chapter 16 - Instruction-Level Parallelism and Superscalar Processors

Chapter 16 - Instruction-Level Parallelism and Superscalar Processors Chapter 16 - Instruction-Level Parallelism and Superscalar Processors Luis Tarrataca luis.tarrataca@gmail.com CEFET-RJ L. Tarrataca Chapter 16 - Superscalar Processors 1 / 78 Table of Contents I 1 Overview

More information

When to use an FPGA to prototype a controller and how to start

When to use an FPGA to prototype a controller and how to start When to use an FPGA to prototype a controller and how to start Mark Corless, Principal Application Engineer, Novi MI Brad Hieb, Principal Application Engineer, Novi MI 2015 The MathWorks, Inc. 1 When to

More information

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its main features and the application benefits of leveraging

More information

Formation and Cooperation for SWARMed Intelligent Robots

Formation and Cooperation for SWARMed Intelligent Robots Formation and Cooperation for SWARMed Intelligent Robots Wei Cao 1 Yanqing Gao 2 Jason Robert Mace 3 (West Virginia University 1 University of Arizona 2 Energy Corp. of America 3 ) Abstract This article

More information

Development of an Experimental Rig for Doubly-Fed Induction Generator based Wind Turbine

Development of an Experimental Rig for Doubly-Fed Induction Generator based Wind Turbine Development of an Experimental Rig for Doubly-Fed Induction Generator based Wind Turbine T. Neumann, C. Feltes, I. Erlich University Duisburg-Essen Institute of Electrical Power Systems Bismarckstr. 81,

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Interfacing ACT-R with External Simulations

Interfacing ACT-R with External Simulations Interfacing ACT-R with External Simulations Eric Biefeld, Brad Best, Christian Lebiere Human-Computer Interaction Institute Carnegie Mellon University We Have Integrated ACT-R With Several External Simulations

More information

7/11/2012. Single Cycle (Review) CSE 2021: Computer Organization. Multi-Cycle Implementation. Single Cycle with Jump. Pipelining Analogy

7/11/2012. Single Cycle (Review) CSE 2021: Computer Organization. Multi-Cycle Implementation. Single Cycle with Jump. Pipelining Analogy CSE 2021: Computer Organization Single Cycle (Review) Lecture-10 CPU Design : Pipelining-1 Overview, Datapath and control Shakil M. Khan CSE-2021 July-12-2012 2 Single Cycle with Jump Multi-Cycle Implementation

More information

Advances in Parallel Discrete Event Simulation for Electronic System-Level Design

Advances in Parallel Discrete Event Simulation for Electronic System-Level Design Advances in Parallel Discrete Event Simulation for Electronic System-Level Design Weiwei Chen, Xu Han, Che-Wei Chang, and Rainer Dömer University of California Editors notes: The authors target the speeding

More information

Single-wire Signal Aggregation Reference Design

Single-wire Signal Aggregation Reference Design FPGA-RD-02039 Version 1.1 September 2018 Contents Acronyms in This Document... 4 1. Introduction... 5 1.1. Features List... 5 1.2. Block Diagram... 5 2. Parameters and Port List... 7 2.1. Compiler Directives...

More information

Co-evolution for Communication: An EHW Approach

Co-evolution for Communication: An EHW Approach Journal of Universal Computer Science, vol. 13, no. 9 (2007), 1300-1308 submitted: 12/6/06, accepted: 24/10/06, appeared: 28/9/07 J.UCS Co-evolution for Communication: An EHW Approach Yasser Baleghi Damavandi,

More information

PLATEFORME SYSTEMES EMBARQUES

PLATEFORME SYSTEMES EMBARQUES PLATEFORME SYSTEMES EMBARQUES contact.dacle@cea.fr www.cea.fr & & CEA. All rights reserved DACLE Division 2013 2 V1,0» 16 000 employees» 10 research centers» 4 regional extensions» Budget of 4.3 billion»

More information

Early Adopter : Multiprocessor Programming in the Undergraduate Program. NSF/TCPP Curriculum: Early Adoption at the University of Central Florida

Early Adopter : Multiprocessor Programming in the Undergraduate Program. NSF/TCPP Curriculum: Early Adoption at the University of Central Florida Early Adopter : Multiprocessor Programming in the Undergraduate Program NSF/TCPP Curriculum: Early Adoption at the University of Central Florida Narsingh Deo Damian Dechev Mahadevan Vasudevan Department

More information

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN ISSN 2229-5518 159 EFFICIENT AND ENHANCED CARRY SELECT ADDER FOR MULTIPURPOSE APPLICATIONS A.RAMESH Asst. Professor, E.C.E Department, PSCMRCET, Kothapet, Vijayawada, A.P, India. rameshavula99@gmail.com

More information

Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes

Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes Rachata Ausavarungnirun Joshua Landgraf Vance Miller Saugata Ghose Jayneel Gandhi Christopher J. Rossbach Onur

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that

More information

BACHELOR IN INFORMATION TECHNOLOGY (BIT) Term-End Examination December, 2011 CSI-01 : COMPUTER PLATFORMS

BACHELOR IN INFORMATION TECHNOLOGY (BIT) Term-End Examination December, 2011 CSI-01 : COMPUTER PLATFORMS No. of Printed Pages : 5 BACHELOR IN INFORMATION TECHNOLOGY (BIT) Term-End Examination December, 2011 00 570 CSI-01 : COMPUTER PLATFORMS Time : 3 hours Maximum Marks : 75 Note : There are two sections

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering Science and Innovative Technology (IJESIT) Volume 6, Issue 2, March 2017

ISSN: ISO 9001:2008 Certified International Journal of Engineering Science and Innovative Technology (IJESIT) Volume 6, Issue 2, March 2017 Implementation of Sensor Actuator Application and Input Output Hardware Layer of AUTOSAR Banushree 1, Hamsini C 2, Dr. S Gayathri 3, Dr. K T Veeramanju 4 Abstract AUTOSAR (Automotive Open System Architecture)

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

Customized Computing for Power Efficiency. There are Many Options to Improve Performance

Customized Computing for Power Efficiency. There are Many Options to Improve Performance ustomized omputing for Power Efficiency Jason ong cong@cs.ucla.edu ULA omputer Science Department http://cadlab.cs.ucla.edu/~cong There are Many Options to Improve Performance Page 1 Past Alternatives

More information

A High Definition Motion JPEG Encoder Based on Epuma Platform

A High Definition Motion JPEG Encoder Based on Epuma Platform Available online at www.sciencedirect.com Procedia Engineering 29 (2012) 2371 2375 2012 International Workshop on Information and Electronics Engineering (IWIEE) A High Definition Motion JPEG Encoder Based

More information

Design of Delay Efficient PASTA by Using Repetition Process

Design of Delay Efficient PASTA by Using Repetition Process Design of Delay Efficient PASTA by Using Repetition Process V.Sai Jaswana Department of ECE, Narayana Engineering College, Nellore. K. Murali HOD, Department of ECE, Narayana Engineering College, Nellore.

More information

Development of a MATLAB Data Acquisition and Control Toolbox for BASIC Stamp Microcontrollers

Development of a MATLAB Data Acquisition and Control Toolbox for BASIC Stamp Microcontrollers Chapter 4 Development of a MATLAB Data Acquisition and Control Toolbox for BASIC Stamp Microcontrollers 4.1. Introduction Data acquisition and control boards, also known as DAC boards, are used in virtually

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

Outline Simulators and such. What defines a simulator? What about emulation?

Outline Simulators and such. What defines a simulator? What about emulation? Outline Simulators and such Mats Brorsson & Mladen Nikitovic ICT Dept of Electronic, Computer and Software Systems (ECS) What defines a simulator? Why are simulators needed? Classifications Case studies

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

The Study of Methodologies for Identifying the Drowsiness in Smart Traffic System: A Survey Mariya 1 Mrs. Sumana K R 2

The Study of Methodologies for Identifying the Drowsiness in Smart Traffic System: A Survey Mariya 1 Mrs. Sumana K R 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 The Study of Methodologies for Identifying the Drowsiness in Smart Traffic System: A

More information

Computer engineering - Wikipedia, the free encyclopedia

Computer engineering - Wikipedia, the free encyclopedia Computer engineering - Wikipedia, the free encyclopedia http://en.wikipedia.org/wiki/computer_engineering 1 of 3 5/27/2009 2:27 PM Computer engineering From Wikipedia, the free encyclopedia Computer Engineering

More information

Hardware-based Image Retrieval and Classifier System

Hardware-based Image Retrieval and Classifier System Hardware-based Image Retrieval and Classifier System Jason Isaacs, Joe Petrone, Geoffrey Wall, Faizal Iqbal, Xiuwen Liu, and Simon Foo Department of Electrical and Computer Engineering Florida A&M - Florida

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

Verilog Implementation of UART with Status Register Sangeetham Rohini 1

Verilog Implementation of UART with Status Register Sangeetham Rohini 1 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 Verilog Implementation of UART with Status Register Sangeetham Rohini 1 1 School Of Engineering

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Trends in Functional Verification: A 2014 Industry Study

Trends in Functional Verification: A 2014 Industry Study Trends in Functional Verification: A 2014 Industry Study Harry D. Foster Mentor Graphics Corporation Wilsonville, Or Harry_Foster@mentor.com ABSTRACT Technical publications often make either subjective

More information

IEEE n MIMO Radio Design Verification Challenge and a Resulting ATE Program Implemented for MIMO Transmitter and Receiver Test

IEEE n MIMO Radio Design Verification Challenge and a Resulting ATE Program Implemented for MIMO Transmitter and Receiver Test 2012 IEEE 18th International Mixed-Signal, Sensors, and Systems Test Workshop IEEE 802.11n MIMO Radio Design Verification Challenge and a Resulting ATE Program Implemented for MIMO Transmitter and Receiver

More information

Safety Mechanism Implementation for Motor Applications in Automotive Microcontroller

Safety Mechanism Implementation for Motor Applications in Automotive Microcontroller Safety Mechanism Implementation for Motor Applications in Automotive Microcontroller Chethan Murarishetty, Guddeti Jayakrishna, Saujal Vaishnav Automotive Microcontroller Development Post Silicon Validation

More information

Steering a Driving Simulator Using the Queueing Network-Model Human Processor (QN-MHP)

Steering a Driving Simulator Using the Queueing Network-Model Human Processor (QN-MHP) University of Iowa Iowa Research Online Driving Assessment Conference 2003 Driving Assessment Conference Jul 22nd, 12:00 AM Steering a Driving Simulator Using the Queueing Network-Model Human Processor

More information

THE ASSERT SET OF TOOLS FOR ENGINEERING (TASTE): DEMONSTRATOR, HW/SW CODESIGN, AND FUTURE

THE ASSERT SET OF TOOLS FOR ENGINEERING (TASTE): DEMONSTRATOR, HW/SW CODESIGN, AND FUTURE THE ASSERT SET OF TOOLS FOR ENGINEERING (TASTE): DEMONSTRATOR, HW/SW CODESIGN, AND FUTURE Marc Pollina (1), Yann Leclerc (1), Eric Conquet (2), Maxime Perrotin (2), Guy Bois (3), Laurent Moss (3) (1) M3Systems,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Supporting x86-64 Address Translation for 100s of GPU Lanes. Jason Power, Mark D. Hill, David A. Wood

Supporting x86-64 Address Translation for 100s of GPU Lanes. Jason Power, Mark D. Hill, David A. Wood Supporting x86-64 Address Translation for 100s of GPU s Jason Power, Mark D. Hill, David A. Wood Summary Challenges: CPU&GPUs physically integrated, but logically separate; This reduces theoretical bandwidth,

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

Sales Document Description of three SR2000 based solutions offered by GomSpace

Sales Document Description of three SR2000 based solutions offered by GomSpace SR2000 HSL, ISL and ASL Solutions NanoCom SR2000 Sales Document Description of three SR2000 based solutions offered by GomSpace 1 Table of Contents 1 TABLE OF CONTENTS... 2 2 GOMSPACE SDR INTRODUCTION...

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Design of FIR Filter Using Modified Montgomery Multiplier with Pipelining Technique

Design of FIR Filter Using Modified Montgomery Multiplier with Pipelining Technique International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 3 (March 2014), PP.55-63 Design of FIR Filter Using Modified Montgomery

More information

Image Capture On Embedded Linux Systems

Image Capture On Embedded Linux Systems Image Capture On Embedded Linux Systems Jacopo Mondi FOSDEM 2018 Jacopo Mondi - FOSDEM 2018 Image Capture On Embedded Linux Systems (1/ 63) Who am I Hello, I m Jacopo jacopo@jmondi.org irc: jmondi freenode.net

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

SOQPSK Software Defined Radio

SOQPSK Software Defined Radio SOQPSK Software Defined Radio Item Type text; Proceedings Authors Nash, Christopher; Hogstrom, Christopher Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

AN0503 Using swarm bee LE for Collision Avoidance Systems (CAS)

AN0503 Using swarm bee LE for Collision Avoidance Systems (CAS) AN0503 Using swarm bee LE for Collision Avoidance Systems (CAS) 1.3 NA-14-0267-0019-1.3 Document Information Document Title: Document Version: 1.3 Current Date: 2016-05-18 Print Date: 2016-05-18 Document

More information