EE382V: Embedded System Design and Modeling

Size: px
Start display at page:

Download "EE382V: Embedded System Design and Modeling"

Transcription

1 EE382V: Embedded System Design and System-Level Design Tools Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin : Outline Overview System-level design landscape System-level design tools Commercial tools Academic tools SCE commercialization ELEGANT environment Specify-Explore-Refine (SER) tools EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 1

2 Electronic System-Level (ESL) Landscape Arbiter CPU Mem SPIRIT/IP-XACT (XML) Bridge MARTE (UML) B1 C1 B2 v1 Computation & Communication Matlab/Simulink, C2 LabView, Ptolemy HW IP System Synthesis Platform library Front-End Application specification SCE,?? System-Level Design Languages (SLDLs) VaST, OVP, Instruction-Set Simulator (ISS) Green Hills, gcc, VxWorks, Transaction-Level Models TLM n C/C++ code Software // Hardware Synthesis Back-End Tensilica B3 C-based RTL SystemC, CoWare, B4 Mentor Catapult, Forte, Software Object Code Hardware Synopsys Design VHDL/Verilog Compiler, EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 3 ESL Tools Electronic System-Level (ESL) terminology misused Often single hardware unit only (high-level HW synthesis) System-level has to span across hardware and software System-level frontend Hardware and software synthesis backend Commercial tools for modeling and simulation Algorithmic modeling (MoC) [UML, Matlab/Simulink, Labview] Virtual system prototyping (TLM) [Coware, VaST, Virtutech] Only horizontal integration across models / components Academic tools for synthesis and verification MPSoC synthesis [SCE, Metropolis, SCD, PeaCE, Deadalus] Vertical integration for path to implementation EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 2

3 Commercial Tools (1) CoFluent SystemC-based modeling and simulation Networks of timed processes Communication through queues, events, variables Early, high-level interactive design space exploration Graphical application, architecture and mapping capture Fast TLM simulation with estimated timing Space Codesign Graphical application, architecture and mapping capture (Eclipse) Process network with message-passing or shared-memory channels SystemC TLM simulation Annotated, host-compiled or cycle-accurate ISS models FPGA-based prototyping Cross-compilation and third-party hardware synthesis (Forte/Catapult) EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 5 Commercial Tools (2) CoWare Virtual system platforms SystemC TLM capture, modeling and simulation Extensive library of IP, processor and bus models Application-specific processor ISS models (LISAtek acquisition) Proprietary SystemC simulation framework Optimized SystemC kernel Graphical debugging, visualization and analysis capabilities Soc Designer Proprietary, C++ based modeling and simulation Fast, statically scheduled cycle-accurate simulation Special cycle-callable component models VaST and Virtutech Proprietary SW-centric virtual platform modeling and simulation Fast, cycle-approximate binary translated or compiled ISS + peripherals EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 3

4 Academic Tools Metropolis Platform-based design (PBD) SystemCoDesigner Dynamic dataflow MoC Automated design space exploration Daedalus KPN MoC for streaming, multi-media applications IP-based MPSoC assembly PeaCE Ptolemy extension as a Codesign Environment Recent extensions for software development (HoPES) SCE SpecC-based System-on-Chip Environment Successive, stepwise Specify-Explore-Refine methodology EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 7 Academic Tools: Metropolis Platform-based Pre-defined target architecture Reuse Meet-in-the-middle Platform mapping and configuration General, proprietary meta-modeling language Capture function, architecture and mapping framework Built-in parsing and simulation Back-end point tool integration EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 4

5 Academic Tools: SystemCoDesigner SysteMoC input model Dynamic dataflow MoC (actors + FSMDs) in SystemC Fully automatic, multi-objective design space exploration Multi-objective evolutionary algorithms (MOEAs) EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 9 Academic Tools: Daedalus KPN input model System assembly and simulation Explore, modify, select instances Sequential application Highlevel Models System-level design space exploration Automatic Parallelization Library of IP cores Common XML Interface Platform specification Mapping specification Parallel application specification (KPN) RTL-level Models XML-based open infrastructure System-level synthesis Multi-processor System on Chip (Synthesizable VHDL and C/C++ code for processors) EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 5

6 Coren Coren Coren Coren Core1 Coren EE382V: Embedded Sys Dsgn and Academic Tools: PeaCE Ptolemy-based Heterogeneous SDF+FSM application MoC Stepwise flow Application partitioning Communication architecture exploration Code and interface generation Software extensions: HOPES Parallel programming API Multi-processor code generation EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 11 System-On-Chip Environment (SCE) Specification Spec Design Decisions System Design Architecture Exploration Scheduling Exploration Network Exploration Communication Synthesis PE/OS Models CE/Bus Models Compile onto MPSoC platform CPU B1 B2 CPU Bus OS + Drv C1 C2 Mem v1 v2 C3 DSP B3 DSP Bus C4 OS + Drv TLM n TLMn TLMi System models Arch n Archn TLMn B4 HW Synthesize target HW/SW IP B5 RTL DB Hardware Synthesis Software Synthesis SW DB CPU ISS B1 RTOS HAL Mem DSP ISS B2,B3 RTOS HAL HWn.v HWn.v HWn.v RTLn RTLn RTLn ISSn ISSn ISSn Implementation Model CPUn.bin CPUn.bin CPUn.bin Arbiter Impl Impl n Impln n CPU Bus HW B4 Bridge DSP Bus IP B5 EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 6

7 Academic MPSoC Design Tools Approach DSE Comp. decision Comm. decision Comp. refine Comm. refine Daedalus Koski Metropolis PeaCE/HoPES SCE SystemCoDesigner EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 13 : Outline Overview System-level design landscape System-level design tools Commercial tools Academic tools SCE commercialization ELEGANT environment Specify-Explore-Refine (SER) tools EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 7

8 ELEGANT Environment Specification model Specification model simulation VisualSpec InterDesign Technologies Venus Fujitsu Formal verification Exploration and Refinement PE / CE / Bus database SER UCI TLM Co-simulation TLM Software synthesis CyberWorkBench NEC Cycle-accurate Co-simulation High-level synthesis Assertion/Property checking Software source code Hardware RTL ELEGANT : Electronic Design Guidance Tool for Space Use Source: InterDesign Technologies, Inc. / Japanese Aerospace Exploration Agency (JAXA) EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 15 ELEGANT SpaceWire Evaluation SpaceWire: aerospace communication protocol standard High-speed and high-reliability interconnection network Asynchronous, fault-tolerance, topology agnostic Automated SpaceWire design with ELEGANT tool set From top-level specification model down to HW/SW HW/SW partitioning and exploration of the architecture with SER Synthesis down to SpaceCube prototyping platform SpW Specification Model Share/reuse SpW design with high-level descriptions Evaluate HW/SW tradeoffs before implementation ELEGANT HW/SW partitioning and synthesis SpW implemented in HW SpW implemented in HW and SW Sp W Source: Japanese Aerospace Exploration Agency (JAXA) Sp W Micro computer SpaceCube EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 8

9 ELEGANT MPEG4 Decoder Evaluation MPEG4 decoder implementation Third-party evaluation by JAXA and Applistar, Inc. input MPEG4 decoder VLD DEQ IDCT + output QCIF size, YUV format QCIF size, simple profile MD MC mem VLD: variable length decoding DEQ: de-quantization IDCT: inverse discrete cosine transform MD: motion vector decoding MC: motion compensation Explore design alternatives by SER HR5000 MIPS 5kf All MPEG4 decoder on SW HR5000 MIPS 5kf HR5000 MIPS 5kf HR5000 MIPS 5kf HR MIPS-class 64-bit MPU for space apps. MIPS5kf core Eureka ES510 system controller MBus FPGA FPGA FPGA FPGA IDCT MC IDCT MC EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer 17 ELEGANT MPEG4 Design Explorations Final implementation delays simulated using single testbench Synthesis to RTL and cycle-accurate (CA) model Synthesis from SER-generated pin-accurate communication model (PAM) FPGA model is CA-SpecC model generated by NEC s CyberWorkbench Co-simulation with ELEGANT system model HR5000 CPU model is generated by SER Back-annotated timing with Fastveri for SW behaviors Comparison of design alternatives Decoding delay [m CPU CPU+IDCT CPU+MC CPU+2xHW HR5000 MIPS 5kf FPGA IDCT FPGA MC Source: JAXA and Applistar, Inc. Performance estimation Target performance (30 frames/s) can been estimated/verified 80MHz CPU/bus/HW clock freq. achieves 30 frames/s performance MBus EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 9

10 : Summary System-level design tools Commercial focus still only on modeling and simulation Academic approaches towards true system-level design Emerging commercial backend HW/SW synthesis Complete, automated system design flow From specification to implementation ELEGANT environment Full industrial system-level design solution Integrated tools for modeling, synthesis & verification Deployed in, e.g. NEC Toshiba Space Systems EE382V: Embedded Sys Dsgn and, 2010 A. Gerstlauer A. Gerstlauer 10

EE382V: Embedded System Design and Modeling

EE382V: Embedded System Design and Modeling EE382V: Embedded System Design and - Introduction Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu : Outline Introduction Embedded systems System-level

More information

SW simulation and Performance Analysis

SW simulation and Performance Analysis SW simulation and Performance Analysis In Multi-Processing Embedded Systems Eugenio Villar University of Cantabria Context HW/SW Embedded Systems Design Flow HW/SW Simulation Performance Analysis Design

More information

EE382V-ICS: System-on-a-Chip (SoC) Design

EE382V-ICS: System-on-a-Chip (SoC) Design EE38V-CS: System-on-a-Chip (SoC) Design Hardware Synthesis and Architectures Source: D. Gajski, S. Abdi, A. Gerstlauer, G. Schirner, Embedded System Design: Modeling, Synthesis, Verification, Chapter 6:

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

A Framework for Fast Hardware-Software Co-simulation

A Framework for Fast Hardware-Software Co-simulation A Framework for Fast Hardware-Software Co-simulation Andreas Hoffmann, Tim Kogel, Heinrich Meyr Integrated Signal Processing Systems (ISS), RWTH Aachen Templergraben 55, 52056 Aachen, Germany hoffmann[kogel,meyr]@iss.rwth-aachen.de

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Séminaire Supélec/SCEE

Séminaire Supélec/SCEE Séminaire Supélec/SCEE Models driven co-design methodology for SDR systems LECOMTE Stéphane Directeur de thèse PALICOT Jacques Co-directeur LERAY Pierre Encadrant industriel GUILLOUARD Samuel Outline Context

More information

Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka

Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Abstract Virtual prototyping is becoming increasingly important to embedded software developers, engineers, managers

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

Statement of Research Weiwei Chen

Statement of Research Weiwei Chen Statement of Research Weiwei Chen Embedded computer systems are ubiquitous and pervasive in our modern society with a wide application domain, such as automotive and avionic systems, electronic medical

More information

RECONFIGURABLE RADIO DESIGN AND VERIFICATION

RECONFIGURABLE RADIO DESIGN AND VERIFICATION RECONFIGURABLE RADIO DESIGN AND VERIFICATION September, 10, 2015 Vladimir Ivanov, LG Electronics Markus Mueck, Intel Corporation Seungwon Choi, Hanyang University DVCON 2015 Bangalore, India OUTLINE Reconfigurable

More information

Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing

Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing Imran Rafiq Quadri, Abdoulaye Gamatié, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Abdoulaye

More information

Accelerated Deployment of SCA-compliant SDR Waveforms 20 JANUARY 2010

Accelerated Deployment of SCA-compliant SDR Waveforms 20 JANUARY 2010 Accelerated Deployment of SCA-compliant SDR Waveforms 20 JANUARY 2010 1 Today s panelists Steve Jennis PrismTech, SVP, Corporate Development José Luis Pino Agilent Technologies, Principal Engineer Tim

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

Energy-Efficient Fault-Tolerant Systems

Energy-Efficient Fault-Tolerant Systems Energy-Efficient Fault-Tolerant Systems Jimson Mathew Rishad A. Shafik Dhiraj K. Pradhan Editors Energy-Efficient Fault-Tolerant Systems 123 Editors Jimson Mathew Department of Computer Science University

More information

Pure Versus Applied Informatics

Pure Versus Applied Informatics Pure Versus Applied Informatics A. J. Cowling Department of Computer Science University of Sheffield Structure of Presentation Introduction The structure of mathematics as a discipline. Analysing Pure

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

PLATEFORME SYSTEMES EMBARQUES

PLATEFORME SYSTEMES EMBARQUES PLATEFORME SYSTEMES EMBARQUES contact.dacle@cea.fr www.cea.fr & & CEA. All rights reserved DACLE Division 2013 2 V1,0» 16 000 employees» 10 research centers» 4 regional extensions» Budget of 4.3 billion»

More information

QAM Receiver Reference Design V 1.0

QAM Receiver Reference Design V 1.0 QAM Receiver Reference Design V 10 Copyright 2011 2012 Xilinx Xilinx Revision date ver author note 9-28-2012 01 Alex Paek, Jim Wu Page 2 Overview The goals of this QAM receiver reference design are: Easily

More information

THE ASSERT SET OF TOOLS FOR ENGINEERING (TASTE): DEMONSTRATOR, HW/SW CODESIGN, AND FUTURE

THE ASSERT SET OF TOOLS FOR ENGINEERING (TASTE): DEMONSTRATOR, HW/SW CODESIGN, AND FUTURE THE ASSERT SET OF TOOLS FOR ENGINEERING (TASTE): DEMONSTRATOR, HW/SW CODESIGN, AND FUTURE Marc Pollina (1), Yann Leclerc (1), Eric Conquet (2), Maxime Perrotin (2), Guy Bois (3), Laurent Moss (3) (1) M3Systems,

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Session : IP business model ESA IP CORES SERVICE. Kostas Marinis, Agustín Fernández-León ESTEC/ESA. Noordwijk, The Netherlands

Session : IP business model ESA IP CORES SERVICE. Kostas Marinis, Agustín Fernández-León ESTEC/ESA. Noordwijk, The Netherlands IP 07 Session : IP business model ESA IP CORES SERVICE Kostas Marinis, Agustín Fernández-León ESTEC/ESA Noordwijk, The Netherlands Abstract : The Microelectronics Section of the European Space Agency (ESA)

More information

Hardware-Software Codesign. 0. Organization

Hardware-Software Codesign. 0. Organization Hardware-Software Codesign 0. Organization Lothar Thiele 0-1 Overview Introduction and motivation Course synopsis Administrativa 0-2 What is HW-SW Codesign?... integrated design of systems that consist

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Experience Report on Developing a Software Communications Architecture (SCA) Core Framework. OMG SBC Workshop Arlington, Va.

Experience Report on Developing a Software Communications Architecture (SCA) Core Framework. OMG SBC Workshop Arlington, Va. Communication, Navigation, Identification and Reconnaissance Experience Report on Developing a Software Communications Architecture (SCA) Core Framework OMG SBC Workshop Arlington, Va. September, 2004

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution?

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Rolf Drechsler University of Bremen/DFKI Germany drechsle@informatik.uni-bremen.de Christophe Chevallaz STMicroelectronics Grenoble,

More information

Software radio. Software program. What is software? 09/05/15 Slide 2

Software radio. Software program. What is software? 09/05/15 Slide 2 Software radio Software radio Software program What is software? 09/05/15 Slide 2 Software radio Software program What is software? Machine readable instructions that direct processor to do specific operations

More information

Topics for Project, Diploma, Bachelor s, and Master s Theses

Topics for Project, Diploma, Bachelor s, and Master s Theses Topics for Project, Diploma, Bachelor s, and Master s Theses This is only a selection of topics. Further up-to-date thesis offers are available on the following web page: http://www12.cs.fau.de/edu/dasa/

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Hardware/Software Codesign - introducing an interdisciplinary course

Hardware/Software Codesign - introducing an interdisciplinary course Hardware/Software Codesign - introducing an interdisciplinary course Micaela Serra and William B. Gardner Dept. of Computer Science Univ. of Victoria, Victoria, B.C. Canada mserra@csr.uvic.ca WCCCE Conference

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Aerial Photographic System Using an Unmanned Aerial Vehicle

Aerial Photographic System Using an Unmanned Aerial Vehicle Aerial Photographic System Using an Unmanned Aerial Vehicle Second Prize Aerial Photographic System Using an Unmanned Aerial Vehicle Institution: Participants: Instructor: Chungbuk National University

More information

SEM-1 (17D06101) STRUCTURED DIGITAL SYSTEM DESIGN L T P C. CO2:Provide solution to overcome short channel issues. (17D57102) CMOS ANALOG IC DESIGN

SEM-1 (17D06101) STRUCTURED DIGITAL SYSTEM DESIGN L T P C. CO2:Provide solution to overcome short channel issues. (17D57102) CMOS ANALOG IC DESIGN SEM-1 (17D06101) STRUCTURED DIGITAL SYSTEM DESIGN CO1:Understand structural functionality of different digital blocks CO2:Represent and Realize their designs in ASM charts CO3:Represent their designs in

More information

MEDEA+ and Embedded Systems

MEDEA+ and Embedded Systems MEDEA+ and Embedded Systems ARTEMIS Annual Conference 2005 Paris Σ! 2365 Jürgen Deutrich Vice Chaiman of the Board MEDEA+ Applications ARTEMIS ANNUAL CONFERENCE 2005 1. About MEDEA+ 2. MEDEA+ Projects

More information

Curriculum Vitae. Education. Distinctions. Personal info

Curriculum Vitae. Education. Distinctions. Personal info Personal info Full name: Date/Place of birth: February 24 th, 1982, Athens, Greece Nationality: Greek e-mail: evlogaras@yahoo.com Personal website: http://cgi.di.uoa.gr/~evlog/ Education 2008-2015, Ph.D.

More information

Satellite Tuner Single Chip Simulation with Advanced Design System

Satellite Tuner Single Chip Simulation with Advanced Design System Turning RF IC technology into successful design Satellite Tuner Single Chip Simulation with Advanced Design System Cédric Pujol - Central R&D March 2002 STMicroelectronics Outline ❽ STMicroelectronics

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Design and Implementation of Signal Processing Systems: An Introduction

Design and Implementation of Signal Processing Systems: An Introduction Design and Implementation of Signal Processing Systems: An Introduction Yu Hen Hu (c) 1997-2013 by Yu Hen Hu 1 Outline Course Objectives and Outline, Conduct What is signal processing? Implementation Options

More information

Evolution of Software-Only-Simulation at NASA IV&V

Evolution of Software-Only-Simulation at NASA IV&V Evolution of Software-Only-Simulation at NASA IV&V http://www.nasa.gov/centers/ivv/jstar/itc.html Justin McCarty Justin.McCarty@TMCTechnologies.com Justin Morris Justin.R.Morris@Nasa.gov Scott Zemerick

More information

Bridge RF Design and Test Applications with NI SDR Platforms

Bridge RF Design and Test Applications with NI SDR Platforms Bridge RF Design and Test Applications with NI SDR Platforms Jason Strydom Application Engineer National Instruments - Midrand The National Instruments Vision To do for test and measurement what the spreadsheet

More information

Hybrid System Level Power Consumption Estimation for FPGA-Based MPSoC

Hybrid System Level Power Consumption Estimation for FPGA-Based MPSoC Hybrid System Level Power Consumption Estimation for FPGA-Based MPSoC Santhosh Kumar RETHINAGIRI, Rabie BEN ATITALLAH, Smail NIAR, Eric SENN, and Jean-Luc DEKEYSER INRIA Lille Nord Europe, Université de

More information

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.9, NO.4, DECEMBER, 2009 187 Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder Jihye Yoo, Seonyoung Lee, and Kyeongsoon Cho

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

A High Definition Motion JPEG Encoder Based on Epuma Platform

A High Definition Motion JPEG Encoder Based on Epuma Platform Available online at www.sciencedirect.com Procedia Engineering 29 (2012) 2371 2375 2012 International Workshop on Information and Electronics Engineering (IWIEE) A High Definition Motion JPEG Encoder Based

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom Schematic of a typical SDR Very rough schematic: Analog Stuff ADC/DAC FPGA GPP Let s ignore

More information

CSTA K- 12 Computer Science Standards: Mapped to STEM, Common Core, and Partnership for the 21 st Century Standards

CSTA K- 12 Computer Science Standards: Mapped to STEM, Common Core, and Partnership for the 21 st Century Standards CSTA K- 12 Computer Science s: Mapped to STEM, Common Core, and Partnership for the 21 st Century s STEM Cluster Topics Common Core State s CT.L2-01 CT: Computational Use the basic steps in algorithmic

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO

THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO THE APPROACH OF SELEX COMMUNICATIONS ON SOFTWARE DEFINED RADIO Loris Schettino (SELEX Communications, Pomezia (Rome), Italy, loris.schettino@selex-comms.com ); Virgilio Cruciani (SELEX Communications,

More information

MODELING AND SIMULATION FOR RF SYSTEM DESIGN

MODELING AND SIMULATION FOR RF SYSTEM DESIGN MODELING AND SIMULATION FOR RF SYSTEM DESIGN Modeling and Simulation for RF System Design by RONNY FREVERT Fraunhofer Institute for Integrated Circuits, Dresden, Germany JOACHIM HAASE Fraunhofer Institute

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT Jennifer Nappier (Jennifer.M.Nappier@nasa.gov); Joseph Downey (Joseph.A.Downey@nasa.gov); NASA Glenn Research Center, Cleveland, Ohio, United States Dale Mortensen

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Spectral Monitoring/ SigInt

Spectral Monitoring/ SigInt RF Test & Measurement Spectral Monitoring/ SigInt Radio Prototyping Horizontal Technologies LabVIEW RIO for RF (FPGA-based processing) PXI Platform (Chassis, controllers, baseband modules) RF hardware

More information

Design Methodologies. Design Trade-offs. System Design to Hardware. Design Gap. Speed (throughput and clock frequency) Area and

Design Methodologies. Design Trade-offs. System Design to Hardware. Design Gap. Speed (throughput and clock frequency) Area and Design Trade-offs Design Methodologies Viktor Öwall Dept. of Electrical and Infomation Technology Lund University Parts of this material was adapted from the instructor material to Jan M. Rabaey, Digital

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6.

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6. Faculty of Information Engineering & Technology The Communications Department Course: Advanced Communication Lab [COMM 1005] Lab 6.0 NI USRP 1 TABLE OF CONTENTS 2 Summary... 2 3 Background:... 3 Software

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Midterm Exam ECE 448 Spring Thursday Section. (15 points)

Midterm Exam ECE 448 Spring Thursday Section. (15 points) Midterm Exam ECE 448 Spring 2012 (15 points) Instructions: Zip all your deliverables into an archive .zip and submit it through Blackboard no later than Thursday, March 8, 10:15 PM EST. 1 Introduction:

More information

MODELING AND SIMULATION FOR RF SYSTEM DESIGN

MODELING AND SIMULATION FOR RF SYSTEM DESIGN MODELING AND SIMULATION FOR RF SYSTEM DESIGN Modeling and Simulation for RF System Design by RONNY FREVERT Fraunhofer Institute for Integrated Circuits, Dresden, Germany JOACHIM HAASE Fraunhofer Institute

More information

ALOE Framework and Tools

ALOE Framework and Tools Department of Signal Theory and Communications UNIVERSITAT POLITÈCNICA DE CATALUNYA ALOE Framework and Tools Vuk Marojevic Ismael Gomez Antoni Gelonch ALOE Webinar. May 24th 212. http://flexnets.upc.edu/

More information

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University CURRICULUM VITAE Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University EDUCATION: PhD Computer Science, University of Idaho, December

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

Prototyping Unit for Modelbased Applications

Prototyping Unit for Modelbased Applications PUMA Software and hardware at the highest level Prototyping Unit for Modelbased Applications With PUMA, we offer a compact and universal Rapid-Control-Prototyping-Platform optionally with integrated power

More information

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system Basic FPGA Tutorial using VHDL and VIVADO to design two frequencies PWM modulator system January 30, 2018 Contents 1 INTRODUCTION........................................... 1 1.1 Motivation................................................

More information

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective Institute of Integrated Sensor Systems Dept. of Electrical Engineering and Information Technology Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

More information

Virtual Prototyping of Cyber-Physical Systems

Virtual Prototyping of Cyber-Physical Systems Virtual Prototyping of Cyber-Physical Systems Wolfgang Mueller, Markus Becker, Ahmed Elfeky University of Paderborn/C-LAB Fuestenalle 11 33102 Paderborn, Germany Anthony DiPasquale Northeastern University

More information

TECHNIQUES AND RECOMMENDATIONS TO IMPROVE WAVEFORM PORTABILITY

TECHNIQUES AND RECOMMENDATIONS TO IMPROVE WAVEFORM PORTABILITY TECHNIQUES AND RECOMMENDAONS TO IMPROVE WAVEFORM PORTABILITY Scott Macejak, David Maldonado, Jim Agniel (L-3 Communications Nova Engineering, Cincinnati, OH, USA; Scott.Macejak@, David.Maldonado@, Jim.Agniel@L-3Com.com)

More information

Spectrum Detector for Cognitive Radios. Andrew Tolboe

Spectrum Detector for Cognitive Radios. Andrew Tolboe Spectrum Detector for Cognitive Radios Andrew Tolboe Motivation Currently in the United States the entire radio spectrum has already been reserved for various applications by the FCC. Therefore, if someone

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

On Current Strategies for Hardware Acceleration of Digital Image Restoration Filters

On Current Strategies for Hardware Acceleration of Digital Image Restoration Filters On Current Strategies for Hardware Acceleration of Digital Image Restoration Filters ERIC GRANGER Laboratoire d imagerie, de vision et d intelligence artificielle Dépt. de génie de la production automatisée

More information

System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI

System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.4, DECEMBER, 2005 229 System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI Jong-Eun Lee*, Woo-Cheol

More information

DESIGN TECHNOLOGY FOR THE TRILLION-DEVICE FUTURE

DESIGN TECHNOLOGY FOR THE TRILLION-DEVICE FUTURE DESIGN TECHNOLOGY FOR THE TRILLION-DEVICE FUTURE Alberto Sangiovanni-Vincentelli The Edgar L. and Harold H. Buttner Chair of EECS, University of California at Berkeley The Emerging IT Scene! The Cloud!

More information

Detector Implementations Based on Software Defined Radio for Next Generation Wireless Systems Janne Janhunen

Detector Implementations Based on Software Defined Radio for Next Generation Wireless Systems Janne Janhunen GIGA seminar 11.1.2010 Detector Implementations Based on Software Defined Radio for Next Generation Wireless Systems Janne Janhunen janne.janhunen@ee.oulu.fi 2 Outline Introduction Benefits and Challenges

More information

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT Energy autonomous wireless sensors: InterSync Project FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT 2 Contents Introduction to the InterSync project, facts & figures Design

More information

Model-Based Design for Sensor Systems

Model-Based Design for Sensor Systems 2009 The MathWorks, Inc. Model-Based Design for Sensor Systems Stephanie Kwan Applications Engineer Agenda Sensor Systems Overview System Level Design Challenges Components of Sensor Systems Sensor Characterization

More information

Dr. Janos Sztipanovits, DARPA/ITO

Dr. Janos Sztipanovits, DARPA/ITO Dr. Janos Sztipanovits, DRP/ITO Embedded systems: Information systems tightly integrated with physical processes Problem indicators: Integration cost is too high (40-50%) Cost of change is high Design

More information

AUTOSAR Timing Extension and a Case Study for Schedulability Analysis

AUTOSAR Timing Extension and a Case Study for Schedulability Analysis AUTOSAR Timing Extension and a Case Study for Schedulability Analysis ArtistDesign Workshop on Real-Time System Models for Schedulability analysis University of Cantabria 7-8 February 2011 sara.tucci@cea.fr

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

System-on-Chip Two-Way Radio

System-on-Chip Two-Way Radio System-on-Chip Two-Way Radio FTF-CON-F0508 Steve Johnson / Keith Tilley Product Management 31 August 2011 Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, C-Ware, t he Energy

More information

SDR Platforms for Research on Programmable Wireless Networks

SDR Platforms for Research on Programmable Wireless Networks SDR Platforms for Research on Programmable Wireless Networks John Chapin jchapin@vanu.com Presentation to NSF NeTS Informational Meeting 2/5/2004 Outline SDR components / terminology Example SDR systems

More information

Ettus Research USRP. Tom Tsou 3rd OpenAirInterface Workshop April 28, 2017

Ettus Research USRP. Tom Tsou 3rd OpenAirInterface Workshop April 28, 2017 Ettus Research USRP Tom Tsou tom.tsou@ettus.com 3rd OpenAirInterface Workshop April 28, 2017 Agenda Company Overview USRP Software Ecosystem Product Line B-Series (Bus) N-Series (Network) X-Series (High

More information

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica Elettronica spa cerca: - Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica - Machine Learning Engineer con Laurea Magistrale in Informatica, Elettronica o Telecomunicazioni

More information

Software Defined Radio Developments and Verification for Space Environment on NASA s Communication Navigation, and Networking Testbed (CoNNeCT)

Software Defined Radio Developments and Verification for Space Environment on NASA s Communication Navigation, and Networking Testbed (CoNNeCT) Software Defined Radio Developments and Verification for Space Environment on NASA s Communication Navigation, and Networking Testbed (CoNNeCT) Richard Reinhart NASA Glenn Research Center, Cleveland, Ohio

More information

An Overview of Computer Architecture and System Simulation

An Overview of Computer Architecture and System Simulation An Overview of Computer Architecture and System Simulation J. Manuel Colmenar José L. Risco-Martín and Juan Lanchares C.E.S. Felipe II Dept. of Computer Architecture and Automation U. Complutense de Madrid

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information