EUROSOI+- FP of /06/2011

Size: px
Start display at page:

Download "EUROSOI+- FP of /06/2011"

Transcription

1 EUROSOI+- FP of /06/2011

2 EUROSOI+- FP of /06/2011

3 EUROSOI+- FP of /06/ PUBLISHABLE SUMMARY The main and last objective of EUROSOI Network is to establish Europe as the international scientific leader in Silicon on Insulator (SOI) Technology, Devices, Circuits and Systems. In this sense, the EUROSOI+ co-ordination efforts during this second reporting period have been focused on the promotion of those activities that contribute to improving the role of the European Semiconductor Industry with regard to SOI and to the knowledge that will enable Europe to compete internationally. Although EUROSOI achievements during FP6 have been many and very important for the European SOI technology, and the situation of SOI technology in Europe has greatly improved during the last three years, there are plenty of challenges at the near future. Even if we now are in the right direction, Europe is still far away from the pursued international leadership. After the elaboration of the State-of-the-Art report and EUROSOI Roadmap we have identified the main actors, the strong points and weaknesses of Silicon- On-Insulator technology in Europe. All this information is collected in the EUROSOI Roadmap, where the challenges which will have to be faced in the future are also identified. Our first stage was a passive one (collecting and structuring the information). This second stage is being much more active; we are not only looking at around us, collecting and re-structuring the available information, but we have passed to the action in a more active role, developing the tasks, fostering creation of consortiums and leading the projects and proposals which give Europe and the European Semiconductor Industry the international leadership which they deserve as pioneers and big developers of SOI technology. The best way to reach this goal is to try to spread the SOI technology all over Europe, making it accessible to any European semiconductor actor: We want that SOI technology is reachable to any European research group or Fabless Semiconductor company; we want that any circuit design has the chance to become a SOI circuit using European technology. To do so, we have to work in two directions: i) the training of researchers and engineers in the particularities of this technology, i.e., in the design of circuits taking advantage of SOI technology. Spreading and promotion of the benefits and advantages of SOI technology. ii) the development of a platform which offers SOI technology for the actual fabrication of SOI circuits It is widely accepted by the International Semiconductor Community that most of the electronic circuits (in the whole application spectra) will have a better performance, and therefore, they will be more competitive, if they are built using SOI technology. However, nowadays it is not easy to have access to this technology, even when we count in Europe with some of the most advanced SOI technologies all over the World. Up to now, a lot of research activities have been pursued in Europe around SOI at different levels: substrate, device, and circuit. Since few years, advanced SOI technologies have been developed in research labs in order to address the downscaling required for 32 nm nodes and below. Today such researches are mainly dedicated to technology development. Among the various ones, we can mention the LETI Fully Depleted SOI technology (developed with high-k and metal gate) that currently has enough maturity to be evaluated at circuit level. So, it becomes obvious that a research-dedicated platform is

4 EUROSOI+- FP of /06/2011 necessary in order to address the circuit design aspects, focussing on the advantages of such technology for Low Power applications. Access to such platform is a long-time wish of European researchers. Hence, the main goal of EUROSOI+ is to coordinate the formation of such research-dedicated platform which will provide, through the integration in EUROPRACTICE, prototyping and Multi-Project-Wafers (MPW) in SOI open to all European companies using LETI SOI process. We are co-ordinating all the activities which will make this platform a reality. In fact, CEA-LETI has launched an Exploratory MPW (Multi Project Wafers) initiative based on FDSOI (Fully Depleted SOI) 20nm process through CMP (Circuit Multi Project, opening the access of its 300mm infrastructure to the design community. This MPW offer is partly supported by EUROSOI+. This process will allow Researchers and Engineers to experiment the benefits of SOI on an advanced technology node. CEA-LETI has developed both an advanced High- K/Metal Gate FDSOI process and a number of specific design and simulation tools based on industry standard design flow packages. FDSOI technology presents key advantages over conventional bulk technology for future nodes: the electrostatic integrity of the transistors is ensured by the thinness of the body without the need for extra litho steps, like in the case of FinFETs, or of channel doping. The consequence is a planar technology that exhibits at the same time excellent short channel behaviour and significant improvement of the variability as shown in a number of recent papers. The basis of LETI technology offer will be the following: CMOS transistors with an undoped channel and a silicon film thickness of 6nm High-k / Metal Gate stack Single threshold voltage (V th ) n- and pmosfet with balanced V th of ±0.4V Associated Design Kit, including SPICE model (Verilog-A language) model cards extracted from silicon data, p-cells, DRC, LVS, schematic, parasitics Design Kit documentation The first run is scheduled to be launched in September All details can be found at CMP website ( The two lines referenced above, summarize EUROSOI+ activities during this period: I. Training and promotional activities. a. Website database ( i. EUROSOI Virtual Journal ii. EUROSOI Landmark publications iii. EUROSOI Newsletters iv. EUROSOI News&Announcements v. EUROSOI Training material database

5 EUROSOI+- FP of /06/2011 b. Organization of Training events and Tutorials i. Multigate SOI MOSFETs (January 23 rd,2008, Cork, Ireland) The SOI MOSFET: from Single Gate to Multigate (Prof. Jean-Pierre Colinge, Tyndall, Ireland) Physics of the Multigate MOS System (Prof. Bogdan Majkusiak, Warsaw University of Technology, Poland) Mobility in Multigate MOSFETs (Francisco Gamiz, University of Granada, Spain) Multigate MOSFET Technology (Malgorzata Jurczak, IMEC, Belgium) Radiation Effects in Advanced Single- and Multi-Gate SOI MOSFETs (Véronique Ferlet-Cavrois, CEA, France) Multigate MOSFET Circuit Design (Gerhard Knoblinger, Infineon, Germany) ii. First FDSOI tutorial of the Thematic Network on SOI technology, devices and circuits (November 17-18, 2008, Grenoble, France) Introduction of the First FDSOI Tutorial (Olivier Faynot, CEA-LETI, Grenoble, France) Variability Issues (Asen Asenov, Glasgow University) Fully-Depleted SOI for Nanometer Subthreshold Circuits (D. Bol, UCL, Belgium) Compact Modeling of Undoped FDSOI MOSFET (O. Rozeau, LETI, Grenoble, France) FDSOI Devices: Physics and Characterization (Prof. Sorin Cristoloveanu, IMEP, Grenoble, France) FDSOI Circuit Design (Alexandre Valentian, CEA-LETI, Grenoble, France) FDSOI: Technology and Electrical Results (F. Andrieu, CEA-LETI, Grenoble, France) EUROSOI+: European Platform for low-power applications on Silicon on Insulator Technology (Prof. F. Gámiz, UGR, Spain) iii. SOI from modelling to design (January 19 th, 2009, Goteborg, Sweden) Modelling of ultra thin body SOI nano-transistors (Prof. Luca Selmi, University of Udine) Strained channel materials for SOI transistors (Prof.Siegfried Mantl, Forschungszenter, Jülich, Aachen) SOI technology: an opportunity for RF designers, (Prof.Jean-Pierre Raskin, Université Catholique de Louvain) From MEMS to embedded NEMS (Dr.Julien Arcamone, CEA-LETI, Grenoble) Ultimately thin carbon on insulators : Graphene (Prof.Max Lemme, Harvard University, Cambridge, Massachusetts) SOI Circuits: Do you want Partially Depleted or Fully Depleted Devices? (Prof.Jean-Pierre Colinge, Tyndall National Institute, Cork) Digital SOI design in the nanometer era - from high-performance to ultra-lowpower circuits (Prof.David Bol, Université Catholique de Louvain)!!!! iv. SOI Concepts: from materials to devices and applications (June 20 th - 26 th, Autrans, France, 2009). MIGAS Summer School Introduction to SOI - What is SOI?, J.P. Colinge, Tyndall, Ireland - SOI zoo, J.P. Colinge, Tyndall, Ireland SOI Material - Smart-Cut and beyond, L. Clavelier, Leti, France - Technology modules, C. Fenouillet-Béranger, STmicroelectronics & Leti, France

6 EUROSOI+- FP of /06/2011!!!! SOI transistors: device physics - Mechanisms in PDSOI and FDSOI devices, O. Faynot, Leti, France - Transport in double-gate and nanowire MOSFET, T. Hiramoto, University of Tokyo, Japan - Quantum and tunneling SOI devices, A. Zaslavsky, Stony Brook University, USA - Advanced simulation, F. Gamiz, UGR, Spain - Advanced modelling and ultimate scaling, T. Ernst, Leti, France!!!! Electrical characterization and reliability - Advanced techniques for material and device characterization, S. Cristoloveanu, IMEP-LAHC, France - Radiation effect and reliability, R. Schrimpf, Vanderbilt, USA - How SOI can solve variability issues?, A. Asenov, Glasgow Univ., UK Designing SOI circuits - SOI circuit design plateform, P. Flatresse,STMicroelectronics, France - SOI memories, B. De Salvo, Leti, France - Low power RF, J.-O. Plouchart, IBM, USA - Power devices, P. Wessels, NXP, Netherlands - MEMS, NEMS, sensors, D. Elata, Technion, Israel v. Exploring new routes with SOI (January 25 th, 2010, Grenoble, France) Advanced SOI Technology (Dr.Jan Hoentschel, GlobalFoundries, Dresden, Germany) Composite single-crystal-based wafers for advanced radiofrequency acoustoelectric devices (Dr.Sylvain Ballandras, FEMTO-ST, France) Electrical characterisation of SOI nanodevices, (Prof.Gerard Ghibaudo, IMEP- LAHC, INPG-MINATEC, Grenoble, France) Wafer Level 3D Integration: Overview of technologies (Dr.N.Sillon, CEA-LETI, Grenoble) SOI substrate for RF applications? (Dr.Eric Desbonnets, SOITEC, Bernin, France) vi. Silicon on Insulator: Materials to Circuit Design (September 13 th, 2010, Seville, Spain) Smart cut enabled materials (Cindy Colinge, Tyndall, Cork, Ireland) Physics of SOI devices (Jean Pierre Colinge, Tyndall, Cork, Ireland) SOI MOSFET compact models (Benjamin Iñiguez, URV, Tarragona, Spain) SOI technology: An opportunity for RF designers?. (Jean Pierre Raskin, UC, Louvain-la-Neuve, Belgium) Analog SOI CMOS devices : figures of merit, design techniques and applications. (Denis Flandre, UCL, Louvain-la-Neuve, Belgium ) SOI design: logic circuits. (Philippe Flatresse, STMicroelectronics, Crolles, France) vii. Silicon on Insulator technologies for future electronics (January 17 th, 2011, Granada, Spain) SOI solutions for next technological nodes. (Sigfried Mantl, FZJülich, Aachen, Germany) ETSOI Technology (Bruce Doris, IBM Research, Yorktown Heights, USA) CMOS-SOI-MEMS Imagers (Yael Nemirovsky, Technion, Haifa, Israel) SOI Low-power applications (Noboyuki Sugii, LEAP, Tokyo, Japan) Memories on SOI (Malgorzata Jurczak, IMEC, Leuven, Belgium) SOI Photonics (Jean Marc Fedeli, CEA-LETI, Grenoble, France)

7 EUROSOI+- FP of /06/2011 c. Organization of Workshops. i. Fourth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (Tyndall National Institute, Cork, Ireland, Jan th, 2008): (56 accepted communications, 80 attendants) ii. Fifth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (Chalmers University of Technology, Goteborg, Sweden, Jan st, 2009): (60 accepted communications, 90 attendants) iii. Sixth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (MINATEC, Grenoble, France, Jan th, 2010): (56 accepted communications, 110 attendants) iv. Seventh Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (Parque de las Ciencias, Granada, Spain, Jan th, 2011): (64 accepted communications, 120 attendants) d. Discussion Panels. The opinion of SOI experts. i. Key Issues in SOI: Solutions and Ideas, chaired by Prof.Sorin Cristoloveanu, January 24 th, 2008, Cork, Ireland Participants: Dr. Damien Bretegnier, SOITEC Prof. Denis Flandre, UCL Dr. Segei Okonin, Innovative Silicon Dr.Olivier Faynot, CEA-LETI Prof. Jean-Pierre Colinge, Tyndall ii. What is the killing advantage of multiple-gate SOI MOSFETs: electrostatics and scalability, transport or functionality, chaired by Prof.Sorin Cristoloveanu, January, 20 th, 2009 Goteborg, Sweden Participants: Prof. Cor Claeys, IMEC Prof. Jerry Fossum, University of Florida Prof. Jean-Pierre Colinge, Tyndall Dr.Olivier Faynot, CEA-LETI Dr.Stephane Monfray, STMicroelectronics, Crolle Prof.Francis Balestra, IMEP, SINANO Institute iii. SOI Technologies: What kind of research for what kind of products?, chaired by Prof.Raphael Clerc, January 26 th, 2010, MINATEC, Grenoble, France Participants: Dr. Horacio Mendez, SOI Industrial Consortium, USA Dr. Christophe Tretz, IBM, USA Dr. Frederic Bouef, STMicroelectronics, Crolles, France Dr. Jan Hoentschel, GlobalFoundries, Dresden, Germany

8 EUROSOI+- FP of /06/2011 Prof. Jean-Pierre Colinge, Tyndall, Cork, Ireland Prof. K.Saraswat, Stanford University, Stanford, USA iv. The contribution of SOI to the brilliant future of Nanoelectronics, chaired by Prof.Francis Balestra, January 18 th, 2011, Parque de las Ciencias, Granada, Spain Participants: Dr. Malgorzata Jurczak, IMEC, Belgium Dr. Bruce Doris, IBM, USA Dr. Olivier Faynot, LETI, Grenoble, France Prof. Massimo Fischetti, UT Dallas, USA Prof. Carl Das, EUROPRACTICE, IMEC, Belgium Dr. Nobuyuki Sugii, Leap, Japan e. Student and travel grants. 13 student grants (for a total of 9390,24 ) to attend EUROSOI workshops in Cork-2008 and Goteborg-2009 have been given to PhD students who works to our their PhD in European Universities in the field of SOI technology. 7 student grants (for a total of 5250,00 ) to attend EUROSOI workshop in Grenoble in January 2010 have been given to PhD students who works to their PhD in European Universities in the field of SOI technology. 15 student grants (for a total of 9851,90 ) to attend EUROSOI workshop in Granada in January 2011 have been given to PhD students who works to their PhD in European Universities in the field of SOI technology During the whole life of the project a total of 35 student grants (for a total of 24492,14 ) have been given to attend EUROSOI workshops. f. Scientific Exchanges 5 Exchange visits (15 weeks) of EUROSOI members to other European Research Centers for a total of 15052,54 have been funded by EUROSOI+ in Exchange visits (20 weeks) of EUROSOI members to other European Research Centers for a total of 17394,68 have been funded by EUROSOI+ in Exchange visits (17 weeks) of EUROSOI members to other European Research Centers for a total of 17556,41 have been funded by EUROSOI+ in Exchange visits (7 weeks) of EUROSOI members to other European Research Centers for a total of 9316,70 have been funded by EUROSOI+ in In summary, 23 exchange visits (59 weeks) of EUROSOI members to other European Research Centers have been funded for a total of 59320,33.

9 EUROSOI+- FP of /06/2011 g. Elaboration & Upgrading of Technical Focused Reports (TFRs). i. EUROSOI State of the art report: Deliverable D4.4. (To be published online on EUROSOI Website). ii. EUROSOI roadmap. Deliverable D4.5. (To be published online on EUROSOI Website). iii. EUROSOI who is who. Deliverable D4.6. (To be published online on EUROSOI Website). iv. Benchmark of UTB vs. FinFET vs. DG SOI transistors. Which SOI device is favourite and for which application? v. Reliability, lifetime,and ageing are relevant topics from an industrial perspective. vi. SOI model with parameters representative of technologies of interest h. Sponsoring of SOI events (Deliverables D2.6 & D2.7) 1. IEEE International SOI conference ( ) 2. nanokiss 2010: Korean International Summer School on Nanoelectronics 3. International SemOI Workshop "Nanoscaled Semiconductor-on-Insulator Materials, Sensors and Devices" 4. European Solid State Device Research Conference 2010, ESSDERC- 2010, Tutorial: Silicon on Insulator: Materials to Circuit Design 5. nanokiss 2011: Korean International Summer School on Nanoelectronics II. Development of EUROSOI fabrication & prototyping platform for the design of lowpower SOI circuits. a. Coordination of information exchange on LETI FDSOI technology. FDSOI wafers with functional devices have been provided to UCL, IMEP, URV and UGR. Also, electrical measurements have been provided to UGR. b. Coordination of activities for the documentation, promotion and spreading of Research-dedicated Design Kit (RDK). The development of the research design kit is progressing in phase with what has been planned in the European project called DECISIF. This design kit contains a digital part that includes device model, Design Rules Control file and Layout Versus Schematic file. These files are essential to model and control the layout generated by the designers. This part is completed at 100%. The design kit contains also an analog part that includes Matching parameters, RF parasitics and related model (completed at 100%). The design kit finally contains an automated digital Design flow that includes Standard cell library and SRAM memory cuts. This last part is completed at 100%. With such level of achievement, the design kit can already be used to design some elementary circuits.

10 EUROSOI+- FP of /06/2011 c. Promotion of the FDSOI technology. Promotion of the FDSOI technology has been made by LETI during this period to the following companies: - Presentation of the technology and results are regularly (every 3 months) made to SOITEC. - Technology and electrical results have been also presented to ST Microelectronics in order to highlight the interest of such technology for Low Power applications. - Promotion of FDSOI technology has also been done to AMD and ARM through a presentation at the SOI consortium meeting in November During the LETI annual review (in June 2009), a specific presentation has been made by Olivier Faynot in order to make a status of this technology at LETI and present the state of the art of the Research dedicated Design Kit. - In the frame of the 2009 VLSI symposium, held in Kyoto, Olivier Faynot had the opportunity to participate to the evening rump session. The rump session was focussed on The Key technology options for sub 20nm nodes. - A FDSOI workshop has been co-organized in Leuven from October 15-16th 2009, by the SOI Consortium and IMEC. This workshop was dedicated to the promotion of the FDSOI technology for the industrial companies that are more focussed on Bulk and FinFET technologies. ( ) - After 2009 IEDM conference in Baltimore, a second FDSOI workshop has been co-organized (on December 9th) by the SOI Consortium and LETI. The goal was the same as the previous workshop. This meeting was a good opportunity to expose ARM company to the recent FDSOI results. ( ) - In the frame of the DECISIF project, the most recent FDSOI technology developments have been presented in Dresden (Global Foundries site), in front of the project partners: ST, SOITEC, SILTRONIC, MPI Halle, Juelich. ( ) - During the EUROSOI 2010 workshop, a working group meeting has been organized and Carlo Reita (LETI) presented the status of the FDSOI platform. Outcomes of this meeting are summarized in deliverable D Technology and electrical results have been also presented to ST Microelectronics and SOITEC in order to highlight the interest of such technology for Low Power applications. No travel expenses are related to this promotion. - An article has been written in Advanced Substrates News, in the Spring 2009 edition. The title is: Leti, Soitec and ST have discovered the sources of threshold voltage variation in undoped, ultrathin FD-SOI architectures. It can be read using the following website: Highlights&rubrique=78#Leti

11 EUROSOI+- FP of /06/ A FDSOI workshop has been held in Japan during SSDM conference (Sept. 2010). During this workshop, Carlo Reita presented a status of the platform and announced its availability through CMP in France. - A press release announcement has been made, in October 2010, to announce the availability of the FDSOI platform through CMP During the LETI annual review (in June 2011), a specific presentation has been made by Olivier Faynot in order to make a status of this technology at LETI and present the state of the art of the Research dedicated Design Kit. - In the frame of the 2010 IEDM conference, held in San Francisco, Olivier Faynot had the opportunity to give an invited talk on the FDSOI technology. This was a good opportunity to expose the Semiconductor community to the last results obtained with this technology - After IEDM conference in San Francisco, a FDSOI workshop has been co-organized (on December 9th) by the SOI Consortium and LETI. The goal was the same as the previous workshop. This meeting was a good opportunity to expose ARM and ST companies to the recent FDSOI results. - During the EUROSOI 2011 workshop, several presentations were dedicated to the promotion of the FDSOI technology: Carlos Mazuré, from SOITEC, presented a status on the readiness of the SOI wafers for such platform and Bruce Doris presented the status of the ongoing technology developed in USA, in collaboration with CEA-LETI. - Technology and electrical results have been also presented, during technical meetings, to ST Microelectronics and SOITEC in order to highlight the interest of such technology for Low Power applications. - A workshop has been organized in Taiwan, during the VLSI-TSA conference. CEA-LETI was co-organizer of this workshop. d. Coordination of activities for the evaluation of the possible integration in the existing EUROPRACTICE structure. 1. We are now considered as one of the EUROPRACTICE projects ( 2. We participated in the EUROPRACTICE Workshop held in Leuven on September 4 th, A telephone meeting with Dr.Carl Das (IMEC) and Dr.John Mclean (RAL), Dr.Olivier Faynot (LETI), Dr.Carlo Reita (LETI) and Prof.Francisco Gamiz (UGR) was held on September 9 th, 2009 to discuss the following steps to be given in order that LETI-FDSOI

12 EUROSOI+- FP of /06/2011 technology could be offer in the framework of EUROPRACTICE program. 4. A meeting with EUROPRACTICE was organized in Granada (Deliverable D5.6)

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 3 of 38 30/06/2011 1. FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 4 of 38 30/06/2011 EUROSOI+- FP7-216373 5 of 38 30/06/2011 The main and last objective of EUROSOI Network

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Low Power Electronics and Applications ISSN

Low Power Electronics and Applications ISSN J. Low Power Electron. Appl. 2014, 4, 201-213; doi:10.3390/jlpea4030201 Article Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea Assessment of Global Variability

More information

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Denis Flandre, Valeriya Kilchytska, Cecilia Gimeno, David Bol, Babak Kazemi Esfeh, Jean-Pierre

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Small-signal Modelling of SOI-specific MOSFET Behaviours. D. Flandre

Small-signal Modelling of SOI-specific MOSFET Behaviours. D. Flandre Small-signal Modelling of SOI-specific MOSFET Behaviours D. Flandre Microelectronics Laboratory (DICE), Research Center in Micro- and Nano-Scale Materials and Electronics Devices (CeRMiN), Université catholique

More information

www.soiconsortium.org By Xavier CAUCHY, Digital Applications Manager, Soitec xavier.cauchy@soitec.fr with François ANDRIEU, Senior Research Engineer, LETI April 2010 SOI Industry Consortium Questions and

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS Marcelo Antonio Pavanello *, João Antonio Martino and Denis Flandre 1 Laboratório de Sistemas Integráveis Escola Politécnica

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

FinFETs and Other Multi-Gate Transistors

FinFETs and Other Multi-Gate Transistors FinFETs and Other Multi-Gate Transistors Series on Integrated Circuits and Systems Series Editor: Anantha Chandrakasan Massachusetts Institute of Technology Cambridge, Massachusetts FinFETs and Other Multi-Gate

More information

COMON De-Briefing. Prof. Benjamin Iñiguez

COMON De-Briefing. Prof. Benjamin Iñiguez COMON De-Briefing Prof. Benjamin Iñiguez Department of Electronic, Electrical and Automatic Control Engineering, Universitat Rovira i Virgili (URV) Tarragona, Spain benjamin.iniguez@urv.cat MOS-AK, Munich,

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018. ASCENT Overview MOS-AK Workshop, Infineon, Munich, 13 th March 2018 European Nanoelectronics Infrastructure Access Paul Roseingrave The Challenge Cost/performance returns by scaling are diminishing Cost

More information

SOI technology platforms for 5G: Opportunities of collaboration

SOI technology platforms for 5G: Opportunities of collaboration SOI technology platforms for 5G: Opportunities of collaboration Dr. Ionut RADU Director, R&D SOITEC MOS AK workshop, Silicon Valley December 6th, 2017 Sourcing value from substrate Robert E. White ISBN-13:

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

NEREID. H2020-ICT-CSA: Micro- and Nano-Electronics Technologies Grant Agreement n Enrico Sangiorgi,

NEREID. H2020-ICT-CSA: Micro- and Nano-Electronics Technologies Grant Agreement n Enrico Sangiorgi, NEREID H2020-ICT-CSA: Micro- and Nano-Electronics Technologies Grant Agreement n 685559 Enrico Sangiorgi, enrico.sangiorgi@unibo.it University of Bologna/IUNET, Scientific Coordinator of Nereid 11 th MOS

More information

Short Course Program

Short Course Program Short Course Program TECHNIQUES FOR SEE MODELING AND MITIGATION OREGON CONVENTION CENTER OREGON BALLROOM 201-202 MONDAY, JULY 11 8:00 AM 8:10 AM 9:40 AM 10:10 AM 11:40 AM 1:20 PM 2:50 PM 3:20 PM 4:50 PM

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

7th SINANO Summer School WP3 & WP4 Domain-Workshops

7th SINANO Summer School WP3 & WP4 Domain-Workshops 7th SINANO Summer School WP3 & WP4 Domain-Workshops October 16 21, 2016, Bertinoro (FC), Italy Sunday, October 16 2016 18:00 RECEPTION and WELCOME BUFFET Monday, October 17 2016 NEREID Workshop 1 Task

More information

ICT Micro- and nanoelectronics technologies

ICT Micro- and nanoelectronics technologies EPoSS Proposers' Day, 2 Feb 2017, Brussels ICT 31-2017 Micro- and nanoelectronics technologies Eric Fribourg-Blanc, Henri Rajbenbach, Andreas Lymberis European Commission DG CONNECT (Communications Networks,

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

THE scaling down of the device area is essential for

THE scaling down of the device area is essential for IEEE TRANSACTIONS ON ELECTRON DEVICES 1 Drain-Current Flicker Noise Modeling in nmosfets From a 14-nm FDSOI Technology Eleftherios G. Ioannidis, Christoforos G. Theodorou, Theano A. Karatsori, Sebastien

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Reliability of deep submicron MOSFETs

Reliability of deep submicron MOSFETs Invited paper Reliability of deep submicron MOSFETs Francis Balestra Abstract In this work, a review of the reliability of n- and p-channel Si and SOI MOSFETs as a function of gate length and temperature

More information

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Volume 7, PP 13-18 www.iosrjen.org Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles Mahalaxmi

More information

Dynamic behavior of the UTBB FDSOI MOSFET

Dynamic behavior of the UTBB FDSOI MOSFET Dynamic behavior of the UTBB FDSOI MOSFET MOS-AK, March 12 th, 2015 Salim EL GHOULI 1, Patrick SCHEER 1, Thierry POIROUX 2, Jean-Michel SALLESE 3, Christophe LALLEMENT 4 André JUGE 1 1 STMicroelectronics,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Programmi di ricerca del VII Framework

Programmi di ricerca del VII Framework Programmi di ricerca del VII Framework 24 Novembre 2007 Research Lines Ultimate CMOS Beyond CMOS Non-volatile Memories Ultimate F/G and Emerging - 2 - More Moore Beyond CMOS - 3 - Flash cell evolution

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

ITRS Update (and the European situation) Mart Graef Delft University of Technology

ITRS Update (and the European situation) Mart Graef Delft University of Technology ITRS Update (and the European situation) Mart Graef Delft University of Technology Overview Roadmapping: Moore s Law & More than Moore Europe and the Roadmap Beyond CMOS: Nano-Tec Infrastructures: ENI2

More information

Simulation of High Performance Nanoscale Partially Depleted SOI n-mosfet Transistors

Simulation of High Performance Nanoscale Partially Depleted SOI n-mosfet Transistors Simulation of High Performance Nanoscale Partially Depleted SOI n-mosfet Transistors Fatima Zohra Rahou, A. Guen Bouazza, B. Bouazza Digital Open Science Index, Electronics and Communication Engineering

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Gate-Length and Drain-Bias Dependence of Band-To-Band Tunneling (BTB) Induced Drain Leakage in Irradiated Fully Depleted SOI Devices

Gate-Length and Drain-Bias Dependence of Band-To-Band Tunneling (BTB) Induced Drain Leakage in Irradiated Fully Depleted SOI Devices Gate-Length and Drain-Bias Dependence of Band-To-Band Tunneling (BTB) Induced Drain Leakage in Irradiated Fully Depleted SOI Devices F. E. Mamouni, S. K. Dixit, M. L. McLain, R. D. Schrimpf, H. J. Barnaby,

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Nanowire Transistors. Physics of Devices and Materials in One Dimension

Nanowire Transistors. Physics of Devices and Materials in One Dimension Nanowire Transistors Physics of Devices and Materials in One Dimension From quantum mechanical concepts to practical circuit applications, this book presents a self-contained and up-to-date account of

More information

Chapter 2 A CRITICAL REVIEW OF SILICON ON INSULATOR (SOI) TECHNOLOGY. A Critical Review of Silicon-on-Insulator (SOI) Technology. 2.

Chapter 2 A CRITICAL REVIEW OF SILICON ON INSULATOR (SOI) TECHNOLOGY. A Critical Review of Silicon-on-Insulator (SOI) Technology. 2. Chapter 2 A Critical Review of Silicon-on-Insulator (SOI) Technology A CRITICAL REVIEW OF SILICON ON INSULATOR (SOI) TECHNOLOGY 2.1 Introduction The nano-electronics industry is driven by the need of high

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS Takayasu Sakurai University of Tokyo Akira Matsuzawa Tokyo Institute of Technology and Takakuni Douseki NTT Corporation

More information

A Survey on Multi Gate MOSFETS

A Survey on Multi Gate MOSFETS ISSN (Online) : 2319-8753 ISSN (Print) : 2347-6710 International Journal of Innovative Research in Science, Engineering and Technology Volume 3, Special Issue 3, March 2014 2014 International Conference

More information

Status and Perspectives of the European Semiconductor Industry. Andreas Wild

Status and Perspectives of the European Semiconductor Industry. Andreas Wild Status and Perspectives of the European Semiconductor Industry Andreas Wild Content 1. 2011 for the European Semiconductors Industry 2. Public-Private Partnership 3. Key Enabling Technologies: Pilot Lines

More information

Coordination Action to enable an effective European 450 mm Equipment & Materials Network

Coordination Action to enable an effective European 450 mm Equipment & Materials Network Coordination Action to enable an effective European 450 mm Equipment & Materials Network Enable 450 Newsletter Issue 10 May 2015 Enable450 Newsletter Welcome to the tenth newsletter for the Enable450 project.

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

The scaling of silicon on insulator

The scaling of silicon on insulator 6 The scaling of silicon on insulator In the previous chapters we discussed the scaling of conventional MOSFETs, and the limitations and challenges that the semiconductor industry is facing to sustain

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

RF-CMOS Performance Trends

RF-CMOS Performance Trends 1776 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 8, AUGUST 2001 RF-CMOS Performance Trends Pierre H. Woerlee, Mathijs J. Knitel, Ronald van Langevelde, Member, IEEE, Dirk B. M. Klaassen, Luuk F.

More information

EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities

EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities Carl Das Europractice Service imec Leuven, Belgium Carl.Das@imec.be John McLean Europractice Service STFC/Rutherford Appleton

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

SILICON EUROPE THE LEADERS FOR INNOVATIVE ELECTRONICS & SOFTWARE TECHNOLOGIES

SILICON EUROPE THE LEADERS FOR INNOVATIVE ELECTRONICS & SOFTWARE TECHNOLOGIES 011 1111 1 0000 0000 01 1011 1111 111 1010 1101 001 0111 1111 100 1101 0010 00 SILICON EUROPE THE LEADERS FOR INNOVATIVE ELECTRONICS & SOFTWARE TECHNOLOGIES WHAT CAN DO FOR YOU? A one-stop shop for creative

More information

Higher School of Economics, Moscow, Russia. Zelenograd, Moscow, Russia

Higher School of Economics, Moscow, Russia. Zelenograd, Moscow, Russia Advanced Materials Research Online: 2013-07-31 ISSN: 1662-8985, Vols. 718-720, pp 750-755 doi:10.4028/www.scientific.net/amr.718-720.750 2013 Trans Tech Publications, Switzerland Hardware-Software Subsystem

More information

UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency

UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency Philippe Magarshack, Philippe Flatresse, Giorgio Cesana STMicroelectronics Technology R&D Crolles, France philippe.magarshack@st.com

More information

Radio-Frequency Circuits Integration Using CMOS SOI 0.25µm Technology

Radio-Frequency Circuits Integration Using CMOS SOI 0.25µm Technology Radio-Frequency Circuits Integration Using CMOS SOI.5µm Technology Frederic Hameau and Olivier Rozeau CEA/LETI - 7, rue des Martyrs -F-3854 GRENOBLE FRANCE cedex 9 frederic.hameau@cea.fr olivier.rozeau@cea.fr

More information

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) SRC Device Sciences 2005 Modeling and Simulation Task Force Contributing organizations: Axcelis, Freescale, IBM, Intel, LSI, SRC,

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany SEMICON Europa 2013 TechARENA 1: Secondary Equipment Session Contact: Dr.-Ing. Martin Schellenberger, Fraunhofer

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

UOTFT: Universal Organic TFT Model for Circuit Design

UOTFT: Universal Organic TFT Model for Circuit Design UOTFT: Universal Organic TFT Model for Circuit Design S. Mijalković, D. Green, A. Nejim Silvaco Europe, St Ives, Cambridgeshire, UK A. Rankov, E. Smith, T. Kugler, C. Newsome, J. Halls Cambridge Display

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology

Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology Maxime Rousseau, Olivier Rozeau, Gérald Cibrario, Gilles Le Carval, Marie-Anne Jaud, Patrick Leduc, Alexis Farcy,

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Investigation of Gate Underlap Design on Linearity of Operational Transconductance Amplifier (OTA)

Investigation of Gate Underlap Design on Linearity of Operational Transconductance Amplifier (OTA) Proceedings of the World Congress on Engineering and Computer Science 20 Vol II WCECS 20, October 20-22, 20, San Francisco, USA Investigation of Underlap Design on Linearity of Operational Transconductance

More information

Heidari, H., Navaraj, W., Toldi, G., and Dahiya, R. (2016) Device Modelling of Bendable MOS Transistors. In: IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, Canada, 22-25 May 2016,

More information

Charge-Based Continuous Equations for the Transconductance and Output Conductance of Graded-Channel SOI MOSFET s

Charge-Based Continuous Equations for the Transconductance and Output Conductance of Graded-Channel SOI MOSFET s Charge-Based Continuous Equations for the Transconductance and Output Conductance of Graded-Channel SOI MOSFET s Michelly de Souza 1 and Marcelo Antonio Pavanello 1,2 1 Laboratório de Sistemas Integráveis,

More information

www.micro-optics.org SWOT analysis of micro-optics research and technologies in Europe Today s Strengths: Today s Weaknesses: At the cradle of µo Expertise and know-how in µo Critical mass At the forefront

More information

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A COMPARITIVELY ANALISIS OF VARIOUS CMOS FINFET STRUCTURE Ragini Soni*, Mrs. Jyotsna Sagar * M.Tech Student (VLSI ) Asst. Professor,

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Work Package 73. Second Report on Dissemination and Promotion of Project results. Deliverable D73.5

Work Package 73. Second Report on Dissemination and Promotion of Project results. Deliverable D73.5 ICT-STREPT-247710 Interconnection Technologies for Flexible Systems Work Package 73 Second Report on Dissemination and Promotion of Project results Responsible Partner: Contributors: Dissemination Level:

More information

HIGH LEVEL FORUM EXECUTIVE COMMITTEE

HIGH LEVEL FORUM EXECUTIVE COMMITTEE HIGH LEVEL FORUM EXECUTIVE COMMITTEE 1 HIGH LEVEL FORUM EXECUTIVE COMMITTEE: CONTEXT HLF EXECUTIVE COMMITTEE : Decided by the HLF Community during the HLF 2016 at Grenoble on Sept 27 th 2016 ( see post-event

More information

A Novel Technique for Suppression of Corner Effect in Square Gate All Around Mosfet

A Novel Technique for Suppression of Corner Effect in Square Gate All Around Mosfet Electrical and Electronic Engineering 01, (5): 336-341 DOI: 10.593/j.eee.01005.14 A Novel Technique for Suppression of Corner Effect in Square Gate All Around Mosfet Santanu Sharma *, Kabita Chaudhury

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS

MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS Viktor Sverdlov and Siegfried Selberherr Institute for Microelectronics Technische Universität Wien Gusshausstrasse 27 29 1040 Vienna,

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information