ECE 598 JS Introduction

Size: px
Start display at page:

Download "ECE 598 JS Introduction"

Transcription

1 ECE 598 JS Introduction Spring 2012 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois 1

2 Future System Needs and Functions Auto Digital Wireless MEMS Consumer 2.5 Limits of Optical Analog, RF Computer 2 Log (Capacity Gb/s) A High bandwidth High-speed Digital 2

3 Demand in the Information Age Activities at home * Telecommuting / home * Teleshopping / home banking * Home medical and health care Pursuing comfortable labor * Remote and mobile offices * Remote control, unattended factory * Collaboration environment Information-oriented living * Shopping / events / traffics * Pastime / learning / sightseeing * Administrative services for residents Voice 64 kbps Documents and drawings 128 kbps 3D-CG 1 Mbps HD still images 1 Mbps Video images 1 Mbps NTSC images 6 Mbps HDTV images 26 Mbps Required media and the amount of information 100 Mbps per home 3 Source: ITRCS

4 PCI PC Interface For external cards Graphics, Network, Sound, etc Parallel 4

5 PCI Express Computer Expansion Card Standard Replaced older PCI Based on serial links Capacity up to 1 Gb/s V3.0 scheduled for

6 Universal Serial Bus (USB) Interfaces devices to computers No rebooting Low power No need for external power supply 480 Mb/s 6

7 IDE Expansion Card Standard Replaced older PCI Based on serial links Capacity up to 1 Gb/s V3.0 scheduled for

8 Serial ATA Storage interface Replaces older parallel ATA or IDE Based on serial links Capacity up to 3 Gb/s Hot swapping capability 8

9 Computer Interconnections 9

10 Motherboards and Backplanes 10

11 Cables and Transmission Lines coaxial twisted pairs 11

12 Cable Specifications 12

13 Measurements VNA: S-parameter Spectrum Analyzer Time-domain simulation Eye diagram 13

14 Semiconductor Technology Trends Chip size (mm 2 ) Number of transistors (million) Interconnect width (nm) Total interconnect length (km)

15 Signal Delay Trend gates delay Signal Delay interconnect delay Delay for Metal 1 and Global Wiring versus Feature Size Global Wiring w/o Repeaters Global Wiring w Repeaters Local Wiring Gate Delay Source: ITRS roadmap

16 The Interconnect Bottleneck Delay (ps) SPEED/PERFORMANCE ISSUE Gate Delay Sum of Delays, Al & SiO2 Sum of Delays, Cu & Low K Interconnect Delay, Al & SiO2 Interconnect Delay, Cu & Low K Gate Gate wi Al & SiO2 Al 3.0 μω -cm Cu 1.7 μω -cm SiO2 κ = 4.0 Low κ κ = 2.0 Al & Cu.8μ Thick Al & Cu Line 43μ Long Generation (nm) 16

17 MOS Technology Trends 17

18 Interconnect Total interconnect length (m/cm 2 ) active wiring only, excluding global levels will increases: Year Total Length Interconnect power dissipation is more than 50% of the total dynamic power consumption in 130nm and will become dominant in future technology nodes Interconnect centric design flows have been adopted to reduce the length of the critical signal path 18

19 5 Layer Interconnect Technology 0.25 μm Vertical parallel-plate capacitance 0.05 ff/μm 2 Vertical parallel-plate capacitance (min width) 0.03 ff/μm Vertical fringing capacitance (each side) 0.01 ff/μm Horizontal coupling capacitance (each side) 0.03 Source: M. Bohr and Y. El-Mansy - IEEE TED Vol. 4, March

20 Integrated Circuit Wiring Metal 5 Metal 4 Metal 3 Metal 2 Metal 1 Substrate Vertical parallel-plate capacitance 0.05 ff/μm 2 Vertical parallel-plate capacitance (min width) 0.03 ff/μm Vertical fringing capacitance (each side) 0.01 ff/μm Horizontal coupling capacitance (each side)

21 Chip Level Interconnect Delay Line Pulse Characteristics: rise time: 100 ps fall time: 100 ps pulse width: 4ns Line Characteristics length : 3 mm near end termination: 50 Ω far end termination 65 Ω 1 Near End Response 0.7 Far End Response Volts Board VLSI Submicron Deep Submicron Logic threshold Volts Board VLSI Submicron Deep Submicron Logic threshold Time (ns) Time (ns) 21

22 Package Level Complexity - Up to 16 layers - Hundreds of vias - Thousands of TLs - High density - Nonuniformity ECE 598 JS, Spring 2012 Copyright by Jose E. Schutt Aine, All Rights Reserved 22

23 Signal Integrity Ideal Transmission Channel Common Transmission Channel Noisy Transmission Channel 23

24 Signal Integrity Crosstalk Dispersion Attenuation Reflection Distortion Loss Delta I Noise Ground Bounce Radiation Drive Line Sense Line Drive Line 24

25 IC on Package 25

26 Mixed Signal Noise Analog Power bus Interconnect Digital Power bus Interconnect coupled noise Substrate Chip-package interconnect bond Inductance Simultaneous switching and inductance (L eff ) L eff is f( current magnitude and direction) Interactions between noise generated by power/ground and signal paths GND 26

27 Power Supply Noise - Power-supply-level fluctuations - Delta-I noise - Simultaneous switching noise (SSN) - Ground bounce VOH VOL Ideal Vout Actual Vout Time 27

28 Power Distribution Problem Gate A Output voltage from Gate A + V 1 - Low Frequency Wire B N GROUND CONNECTION Differential voltage at receiver V 1 - R + V 1 - Gate C R + - Internal reference generator Gate A Output voltage from Gate A + V 1 - High Frequency GROUND CONNECTION Wire B - N + Equivalent noise source in series with ground connection Differential voltage at receiver V 2 - N - R + V 2 - Gate C R + - Internal reference generator At high frequencies, Wire B is a transmission line and ground connection is no longer the reference voltage 28

29 On Chip Power and Ground Distribution Distribution Network for Peripheral Bonding Power and ground are brought onto the chip via bond pads located along the four edges Metal buses provide routing from the edges to the remainder of the chip Local Buses GND VP Bus GND Bus VP Bus GND Bus VP Bus Wiring Tracks VP GND Bus VP Bus GND Bus VP Bus 29

30 Dual in Line (DIP) Package -Mounted on PWB in pin-through-hole (PTH) configuration - Chip occupies less than 20% of total space - Lead frame with large inductance 30

31 Packages & Packaging Trends Quad Flat Pack Thermal Package MCM 31

32 Stacked Wire Bonds 32

33 Ceramic Substrate 33

34 Area Bonding with Flip Chip Bumped Die Package Body Pins Minimizes IR drops between gates Minimizes interconnection inductance 34

35 Tools for Physical Design * Schematic editor * Circuit level simulator * Layout editor * Placement & routing * Design rule checker * Netlist extractor * Layout vs Schematic * Libraries * Design verification * Electromagnetic analysis 35

36 State of the Art in Extraction CAPACITANCE * MoM- BEM * FEM * Fast Multipole INDUCTANCE * MoM- BEM (2D) * FEM (2D) * PEEC (3D) * Fast Multipole Main Challenge: 3D inductance extraction is computationally expensive. 36

37 Circuit Simulation Board/Module Chip Y(t) v(t) = I(t) Given, Y and I, find v * SPICE * Asymptotic Waveform Evaluation * Complex Frequency Hopping * Passive Multipoint Matching Method * Latency Insertion Method 37

38 Why SPICE? Established platform Powerful engine Source code available for free Extensive libraries of devices New device installation procedure straightforward 38

39 SPICE From Netlist Parser Device Stamp I=YV To Solver spice3f4 conf examples lib man notes patches src tmp util doc helpdir scripts man1 man3 man5 bin include lib unsuppo lib skeleton ckt cp dev fte hlp inp mfb mfbpc misc ni sparse mac asrc bjt bsim1 bsim2 cap cccs ccvs csw dio disto ind isrc jfet ltra mes mos1 mos2 mos3 mos6 res sw tra urc vccs vcvs vsrc Directory Structure 39

40 Interconnect Simulation Application New Interconnects: 3D Interconnect (System In Package) package-intermediated interconnects Chip-Package-Board Co-Design Power Ground Network: It will greatly affect the performance of the chip design: Voltage (IR) drops on VDD nets ground bounce on VSS nets High currents in the power grids Electromigration effect Power Ground Network Design 40

41 Chip Package Co Design Repeated Simulation of the Package/Board Super Fast Simulation Source: Joel Mcgrath, Chip/package co-design: The bridge between chips and systems, Advanced Packaging Magazine June,

42 Power Ground Network Design Flow Repeated Simulation of the P/G network Super Fast Simulation 42

43 Deep Submicron Timing Closure requirements specification behavioral representation structural representation structural representation physical representation Design Specification Functional Design Logic Design Circuit Design Physical Design functional simulation logic simulation circuit analysis extraction and verification Unbounded design iterations resulting from unpredicted timing violations microns and lower - 2 to 20 iterations - mismatch between logic and physical designs - greater timing variations - dominated by interconnects - inductive and capacitive coupling - slows time-to-market fabrication 43

44 Example: Power Bus/Ground Plane Model Unit cell = Modeling - Determine R,L,G,C parameters and define cell - Synthesize 2-D circuit model for ground plane - Use SPICE to simulate transient Typical workstation simulation time for a 1200-cell network is 2 h 40 min. Too time consuming! Y cells X cells 44

45 Model Order Reduction Large Network (>1,000 nodes) Reduced Order Model (< 30 poles) * AWE SPICE Y(t) v(t) = i(t) MOR Schemes * Padé via Lanczos * Complex frequency hopping * Direct rational approximation Y(ω) V(ω) = I(ω) Order Reduction Y(ω) = ~ Y(ω) ~ Recursive Convolution ~ Y(t) v(t) = i(t) 45

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

ECE 546 Lecture 20 Power Distribution Networks

ECE 546 Lecture 20 Power Distribution Networks ECE 546 Lecture 20 Power Distribution Networks Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 IC on Package ECE 546

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Innovations in EDA Webcast Series

Innovations in EDA Webcast Series Welcome Innovations in EDA Webcast Series August 2, 2012 Jack Sifri MMIC Design Flow Specialist IC, Laminate, Package Multi-Technology PA Module Design Methodology Realizing the Multi-Technology Vision

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

PC Pandey: Lecture notes PCB Design, EE Dept, IIT Bombay, rev. April 03. Topics

PC Pandey: Lecture notes PCB Design, EE Dept, IIT Bombay, rev. April 03. Topics PC Pandey: Lecture notes PCB Design, EE Dept,, rev. April 03 1 PC Pandey: Lecture notes PCB Design, EE Dept,, rev. April 03 2 PCB DESIGN Dr. P. C. Pandey EE Dept, Revised Aug 07 Topics 1.General Considerations

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16 1 PCB DESIGN Dr. P. C. Pandey EE Dept, IIT Bombay Rev. Jan 16 2 Topics 1.General Considerations in Layout Design 2.Layout Design for Analog Circuits 3.Layout Design for Digital Circuits 4. Artwork Considerations

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

ECE 497 JS Lecture 16 Power Distribution

ECE 497 JS Lecture 16 Power Distribution ECE 497 JS Lecture 16 Power Distribution Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Overview Motivations & Objectives Power Supply Network

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

ECE 598 JS Lecture 13 Power Distribution

ECE 598 JS Lecture 13 Power Distribution ECE 598 JS Lecture 13 Power Distribution Spring 2012 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters Maxim > App Notes > AUTOMOTIVE GENERAL ENGINEERING TOPICS POWER-SUPPLY CIRCUITS PROTOTYPING AND PC BOARD LAYOUT Keywords: printed circuit board, PCB layout, parasitic inductance, parasitic capacitance,

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers Albert Ruehli, Missouri S&T EMC Laboratory, University of Science & Technology, Rolla, MO with contributions by Giulio Antonini,

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Mentor Analog Simulators

Mentor Analog Simulators ENGR-434 Spice Netlist Syntax Details Introduction Rev 5/25/11 As you may know, circuit simulators come in several types. They can be broadly grouped into those that simulate a circuit in an analog way,

More information

HI-201HS. High Speed Quad SPST CMOS Analog Switch

HI-201HS. High Speed Quad SPST CMOS Analog Switch SEMICONDUCTOR HI-HS December 99 Features Fast Switching Times, N = ns, FF = ns Low ON Resistance of Ω Pin Compatible with Standard HI- Wide Analog Voltage Range (±V Supplies) of ±V Low Charge Injection

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Strategies for High Density and High Speed Packaging. Ride the Wave Workshop

Strategies for High Density and High Speed Packaging. Ride the Wave Workshop Strategies for High Density and High Speed Packaging Ride the Wave Workshop Topics! Trends in Packaging! Common Design Challenges! Design through Software! Supply Plane Analysis with SIwave! Non-ideal

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Dr. Marco KLINGLER PSA Peugeot Citroën Vélizy-Villacoublay, FRANCE marco.klingler@mpsa.com FR-AM-5 Background The automotive context

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST)

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST) MMIC/RFIC Packaging Challenges Webcast ( 9AM PST 12PM EST) Board Package Chip HEESOO LEE Agilent EEsof 3DEM Technical Lead 1 Agenda 1. MMIC/RFIC packaging challenges 2. Design techniques and solutions

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Using ICEM Model Expert to Predict TC1796 Conducted Emission Using ICEM Model Expert to Predict TC1796 Conducted Emission E. Sicard (1), L. Bouhouch (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) ESTA Agadir, Morroco Contact : etienne.sicard@insa-toulouse.fr

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Basic Layout Techniques

Basic Layout Techniques Basic Layout Techniques Rahul Shukla Advisor: Jaime Ramirez-Angulo Spring 2005 Mixed Signal VLSI Lab Klipsch School of Electrical and Computer Engineering New Mexico State University Outline Transistor

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

HA4600. Features. 480MHz, SOT-23, Video Buffer with Output Disable. Applications. Pinouts. Ordering Information. Truth Table

HA4600. Features. 480MHz, SOT-23, Video Buffer with Output Disable. Applications. Pinouts. Ordering Information. Truth Table TM Data Sheet June 2000 File Number 3990.6 480MHz, SOT-23, Video Buffer with Output Disable The is a very wide bandwidth, unity gain buffer ideal for professional video switching, HDTV, computer monitor

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

System-on-Chip. Electro-thermal effects in radio ICs. Overview. Tri-band GSM radio receiver (front-end)

System-on-Chip. Electro-thermal effects in radio ICs. Overview. Tri-band GSM radio receiver (front-end) System-on-Chip Overview Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Material from Sensitivity Degradation in a Tri-Band GSM BiCMOS Direct-Conversion Receiver

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Noise Constraint Driven Placement for Mixed Signal Designs William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Introduction OUTLINE Substrate Noise: Some Background Substrate Noise Network

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

A Novel Interconnection Technique Using Zero-Degree Phase Shifting Microstrip TL for RF QFN Package at S-Band

A Novel Interconnection Technique Using Zero-Degree Phase Shifting Microstrip TL for RF QFN Package at S-Band Progress In Electromagnetics Research Letters, Vol. 67, 125 130, 2017 A Novel Interconnection Technique Using Zero-Degree Phase Shifting Microstrip TL for RF QFN Package at S-Band Mohssin Aoutoul 1, *,

More information

HI-201HS. Features. High Speed, Quad SPST, CMOS Analog Switch. Applications. Ordering Information. Pinout (Switches Shown For Logic 1 Input) FN3123.

HI-201HS. Features. High Speed, Quad SPST, CMOS Analog Switch. Applications. Ordering Information. Pinout (Switches Shown For Logic 1 Input) FN3123. HI-HS Data Sheet September 4 FN.4 High Speed, Quad SPST, CMOS Analog Switch The HI-HS is a monolithic CMOS Analog Switch featuring very fast switching speeds and low ON resistance. The integrated circuit

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information