CprE 583 Reconfigurable Computing

Size: px
Start display at page:

Download "CprE 583 Reconfigurable Computing"

Transcription

1 Project Proposals CprE / ComS 583 Reconfigurable Computing Group FPG Implementation of Frequency- Domain udio Effects Processor Five-band equalizer Frequency shifter Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State niversity ecture #5 Midterm Review October, 26 ect-5.2 Group 2 Transparent FPG-ased Network nalyzer ayer I pass-through ayer II passive analyzer Group 3 FPG-ased ibrary Design for inear lgebra pplications Floating-point sparse matri-vector multiplication Floating-point banded matri-vector multiplication Floating-point lower-upper matri decomposition October, 26 ect-5.3 October, 26 ect-5.4 Group 4 n Improved pproach of Configuration Compression for FPG-ased Embedded Systems Improved compression algorithms T-reordering techniques Others Projects: Group 5 FPG Ternary Data Conversion Group 6 nalysis of Sobel Edge Detection Implementations Group 7 Design and nalysis of rtificial Neural Networks on FPGs Reminders: /6 Project pdates ( minutes) 2/5-2/7 Final Presentations (25 minutes) 2/5 Final Reports October, 26 ect-5.5 October, 26 ect-5.6

2 Midterm Review sing the Silicon Computational Density (Qualitative) Intel Pentium 4 ctel ProSIC MMX SSE FFT ES MPP More Cache CISC Superscalar Vector Reconfigurable Fabric Reconfigurable Processor FPGs can complete more work per unit time than a processor or DSP: ess instruction overhead More active computation onto the same silicon area (allows for more parallelism) Can control operations at the bit level (as opposed to word level) October, 26 ect-5.7 October, 26 ect-5.8 Coupling in a Reconfigurable System Workstation Coprocessor ttached Processing nit Standalone Processing nit Generic FPG rchitecture FPG = Field-Programmable Gate rray CP F October, 26 Caches I/O Many places to put reconfigurable computing components Most implementations involve multiple discrete devices How should these devices be connected together? ect-5.9 Input/Output uffers (IOs) Configurable ogic locks (Cs) Programmable interconnect mesh October, 26 IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO Island-style FPG architecture ect-5. IO IO IO IO IO FPG Technology Various FPG programming technologies (nti-fuse, (E)EPROM, Flash, SRM): SRM most popular Ts and Digital ogic k inputs 2 k possible input values k-t corresponds to 2 k bit memory Truth table is stored 2 2k possible functions O(2 2k / k!) unique F = 2 ĀĀ2 Ā Ā Ā October, 26 ect-5. October, 26 ect-5.2 2

3 October, 26 rchitectural Issues [hmros4] What values of N, I, and K minimize the following parameters? rea Delay rea-delay product ssumptions ll routing wires length 4 Fully populated IMX Wiring is half pass transistor, half tri-state ect-5.3 October, 26 FPG rithmetic Traditional microprocessors, DSPs, etc. don t use Ts Instead use a w-bit rithmetic and ogic nit () Carry connections are hard-wired No switches, no stubs, short wires () ND2 OR2 XOR2 (2) DD S CMP Op (, 2) Out () 2-T Out Cin 3-T 3-T Cout Cout / Cin (2) 3-T 3-T Sum Sum ect-5.4 FPG rithmetic (cont.) rithmetic (cont.) X 3 X 2 X X Y Hard-wired carry logic support Carry save multiplication X 3 X 2 X X Y Y 2 Y 3 ltera FEX 8 Xilin XCV4 Z 2 Z Z October, 26 ect-5.5 October, 26 ect-5.6 N N 7 4-T October, 26 T-ased Constant Multipliers NNNNNNNN (N * (SN)) (N * (MSN)) SSSSSSSSSSSSSSSS Product N N 7 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T Constants can be changed in the Ts to program new multipliers 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4-T 4 5 S S 5 ect-5.7 Xilin Device Compleity Capacity Trends Virte-5 55 MHz 24M gates* Virte-II Pro Virte-4 45 MHz 5 MHz 8M gates* 6M gates* Virte-II 45 MHz Spartan-3 8M gates 326 MHz Virte-E 5M gates 24 MHz 4M gates Virte XC4 2 MHz Spartan-II MHz M gates 2 MHz 25K gates 2K gates Spartan 8 MHz XC3 XC52 4K gates 85 MHz 5 MHz 7.5K gates 23K gates XC2 5 MHz K gates Year October, 26 ect-5.8 3

4 Splash rchitecture VME us FIFO IN Control FIFO OT F 3 F 2 F F F 3 M 3 M 2 M M M 3 M 4 M 5 M 6 M 7 M 24 VS us F 3 F 29 F 28 M 3 M 29 M 28 M 25 M 26 M 27 FPG-based Router WGS Switch ackplane Connector OSC OSC MHz MHz JTG VRM:.8V Switcher OSC 62.5 MHz SRM 8Mbit ZT SDRM (backside) RD Reprogrammable pplication Device VirteE fg68 SRM 8Mbit ZT (backside) SDRM FPX Wash / R July, 2 J / MR RD Program SRM NID Network Device NID EPROM OC3 / OC2 / OC48 inecard Connector Reprog F 4 F M M 2 F 2 F 5 F M M 3 F 3 F 6 F 9 M 9 M 4 F 4 F 7 F 8 M 8 M 5 F 5 F 24 F 23 M 23 M 6 F 6 F 25 F 22 M 22 M 7 F 7 F 26 F 2 M 2 M 8 F 8 F 27 F 2 M 2 M 9 F 9 RD/NID Status FPX module contains two FPGs NID network interface device Performs data queuing RD reprogrammable application device Specialized control sequences October, 26 ect-5.9 October, 26 ect-5.2 Mesh Topology Chips are connected in a nearest-neighbor pattern Simplicity is key inear array is essentially a - dimensional mesh C D E F G H I Other Topologies Crossbar topology: Devices -D are routing only Gives predictable performance Potential waste of resources for near-neighbor connections C D W X Y Z October, 26 ect-5.2 October, 26 ect-5.22 ogic Emulation Emulation takes a sizable amount of resources Compilation time can be large due to FPG compiles Systolic rchitectures Goal general methodology for mapping computations into hardware (spatial computing) structures Composition: Simple compute cells (e.g. add, sub, ma, min) Regular interconnect pattern Pipelined communication between cells I/O at boundaries min c October, 26 ect-5.23 October, 26 ect

5 Finite Impulse Response Sequential bandwidth per output 2k O(k) cycles per output O() hardware Systolic bandwidth per output 2 O() cycles per output O(k) hardware Matri-Vector Product t = 4 a 4 a 23 a 23 a 4 t = 3 t = 2 t = a 3 a 2 a a 22 a 2 a 3 i n y t = n w w 2 w 3 w 4 y 2 t = n y 3 t = n2 y i y 4 t = n3 October, 26 ect-5.25 October, 26 ect-5.26 Circuit Netlist and Mapping T T4 Placing and Routing Programmable Connections FPG T T2 T5 FF T3 FF2 October, 26 ect-5.27 October, 26 ect-5.28 October, 26 Net Steps IRRY ieee ; SE ieee.std_logic_64.all ; ENTITY implied IS PORT (, : IN STD_OGIC ; eq : OT STD_OGIC ) ; END implied ; RCHITECTRE ehavior OF implied IS EGIN PROCESS (, ) EGIN IF = THEN eq <= '' ; END IF ; END PROCESS ; END ehavior ; VHD / VHD for Synthesis eq ect-5.29 October, 26 HW/SW Co-Design RM Core Cache RM ocal RMulator RM core simulator RMulator PI Comm. uffer Socket Handler Mem. ccess Socket Handler SOCKET # SOCKET #2 Modelsim FI H Slave H Master Modelsim H Slave M H Slave HD simulator H Master Comm. uffer SIC / FPG Shared ect-5.3 5

6 Multi-Contet FPGs Function nit rchitectures Input Streams Output Streams Multiply Custom Function Multiply RaPiD: Reconfigurable Pipelined Datapath inear array of function units Function type determined by application Function units are connected together as needed using segmented buses Data enters the pipeline via input streams and eits via output streams October, 26 ect-5.3 October, 26 ect-5.32 High-evel Compilation Other Topics? C Program SIF frontend C ibraries on various Targets Second course survey net week Provide general feedback, suggest additional topics Directives and utomation HW / SW Partitioner SIF to GCC C to RT VHD/Verilog C to RT VHD/Verilog GCC compiler for embedded VHD to FPG Synthesis VHD to SIC Synthesis Object code for Embedded (S) inaries for FPGs (Xilin) Chip layouts (.8u TSMC) October, 26 ect-5.33 October, 26 ect-5.34 Midterm Eam Three questions Review nalysis Etension ny paper mentioned in class is fair game Due in 48 hours (/2 2:pm) No class on Thursday! Some restrictions: Work alone Can ask if something is unclear ( what does this mean? questions, not how do I do this? questions) No late submissions strict WebCT deadline October, 26 ect

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

CprE 583 Reconfigurable Computing

CprE 583 Reconfigurable Computing Quick Points CprE / ComS 58 Reconfigurable Computing Lectures are viewable for students via WebCT Quality is higher Use discussion forums Class e-mail list created: cpre58@iastate.edu Prof. Joseph Zambreno

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Randy H. Katz University of California, erkeley May 993 ฉ R.H. Katz Transparency No. - The Elements of Modern Design Representations, Circuit Technologies, Rapid Prototyping ehaviors locks

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Introduction Contemporary Logic Design Randy H. Katz University of California, erkeley May 994 No. - The Process Of Design Design Implementation Debug Design Initial concept: what is the function

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Circuit-Level Considerations for an Ultra- Low Voltage FPGA with Unidirectional, Single-Driver Routing Fabric

Circuit-Level Considerations for an Ultra- Low Voltage FPGA with Unidirectional, Single-Driver Routing Fabric UNCLSSIFIED Circuit-Level Considerations for an Ultra- Low Voltage FPG with Unidirectional, Single-Driver Routing Fabric Peter Grossmann, Miriam Leeser 26 September 2011 The Lincoln Laboratory portion

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic Regular logic Programmable Logic rrays Multiplexers/ecoders ROMs Field Programmable Gate rrays Xilinx Vertex Random Logic Full ustom esign S 5 - Fall 25 Lec. #3: Programmable Logic -

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic! Regular logic " Programmable Logic rrays " Multiplexers/ecoders " ROMs! Field Programmable Gate rrays " Xilinx Vertex Random Logic Full ustom esign S 5 - Spring 27 Lec. #3: Programmable

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Option 1: A programmable Digital (FIR) Filter

Option 1: A programmable Digital (FIR) Filter Design Project Your design project is basically a module filter. A filter is basically a weighted sum of signals. The signals (input) may be related, e.g. a delayed versions of each other in time, e.g.

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Interconnect. Physical Entities

Interconnect. Physical Entities Interconnect André DeHon Thursday, June 20, 2002 Physical Entities Idea: Computations take up space Bigger/smaller computations Size resources cost Size distance delay 1 Impact Consequence

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Creating Intelligence at the Edge

Creating Intelligence at the Edge Creating Intelligence at the Edge Vladimir Stojanović E3S Retreat September 8, 2017 The growing importance of machine learning Page 2 Applications exploding in the cloud Huge interest to move to the edge

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Evolutionary Electronics

Evolutionary Electronics Evolutionary Electronics 1 Introduction Evolutionary Electronics (EE) is defined as the application of evolutionary techniques to the design (synthesis) of electronic circuits Evolutionary algorithm (schematic)

More information

1) Fixed point [15 points] a) What are the primary reasons we might use fixed point rather than floating point? [2]

1) Fixed point [15 points] a) What are the primary reasons we might use fixed point rather than floating point? [2] 473 Fall 2018 Homework 2 Answers Due on Gradescope by 5pm on December 11 th. 165 points. Notice that the last problem is a group assignment (groups of 2 or 3). Digital Signal Processing and other specialized

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 1, January 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of Digital

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1 Chapter 3 hardware software H/w s/w interface Problems Algorithms Prog. Lang & Interfaces Instruction Set Architecture Microarchitecture (Organization) Circuits Devices (Transistors) Bits 29 Vijaykumar

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction Signals are used to communicate among human beings, and human beings and machines. They are used to probe the environment to uncover details of structure and state not easily observable,

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

CS 110 Computer Architecture Lecture 11: Pipelining

CS 110 Computer Architecture Lecture 11: Pipelining CS 110 Computer Architecture Lecture 11: Pipelining Instructor: Sören Schwertfeger http://shtech.org/courses/ca/ School of Information Science and Technology SIST ShanghaiTech University Slides based on

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS DENIS F. WOLF, ROSELI A. F. ROMERO, EDUARDO MARQUES Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel.

Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel. Case Study Image Processing Image processing From a hardware perspective Often massively yparallel Can be used to increase throughput Memory intensive Storage size Memory bandwidth -diemensional Image

More information

A Self-Contained Large-Scale FPAA Development Platform

A Self-Contained Large-Scale FPAA Development Platform A SelfContained LargeScale FPAA Development Platform Christopher M. Twigg, Paul E. Hasler, Faik Baskaya School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia 303320250

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

Andrew Clinton, Matt Liberty, Ian Kuon

Andrew Clinton, Matt Liberty, Ian Kuon Andrew Clinton, Matt Liberty, Ian Kuon FPGA Routing (Interconnect) FPGA routing consists of a network of wires and programmable switches Wire is modeled with a reduced RC network Drivers are modeled as

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 3

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 3 EECTRIC ND COMPUTER ENGINEERING DEPRTMENT, OKND UNIVERITY ECE-7: Digital ogic Design all 7 IMPEMENTTION TECNOOGY Notes - Unit 3 OGIC EVE: ogic values are represented by TRUE or E. In digital circuits,

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

Copyright 2000 N. AYDIN. All rights reserved. 1

Copyright 2000 N. AYDIN. All rights reserved. 1 Introduction to igital Prof Nizamettin IN naydin@yildizedutr naydin@ieeeorg ourse Outline igital omputers, Number Systems, rithmetic Operations, ecimal, lphanumeric, and Gray odes 2 inary, Gates, oolean

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Reconfigurable Video Image Processing

Reconfigurable Video Image Processing Chapter 3 Reconfigurable Video Image Processing 3.1 Introduction This chapter covers the requirements of digital video image processing and looks at reconfigurable hardware solutions for video processing.

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Analysis of Processing Parameters of GPS Signal Acquisition Scheme

Analysis of Processing Parameters of GPS Signal Acquisition Scheme Analysis of Processing Parameters of GPS Signal Acquisition Scheme Prof. Vrushali Bhatt, Nithin Krishnan Department of Electronics and Telecommunication Thakur College of Engineering and Technology Mumbai-400101,

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization

Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization Sashisu Bajracharya MS CpE Candidate Master s Thesis Defense Advisor: Dr

More information

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 8 CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 6.1 INTRODUCTION In this part of research, a proto type model of FPGA based nine level cascaded inverter has been fabricated to improve

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education Socware, Pacwoman & Flexible Radio Peter Nilsson Program Manager Socware Research & Education Associate Professor Digital ASIC Group Department of Electroscience Lund University Socware: System-on-Chip

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

EE 280 Introduction to Digital Logic Design

EE 280 Introduction to Digital Logic Design EE 280 Introduction to Digital Logic Design Lecture 1. Introduction EE280 Lecture 1 1-1 Instructors: EE 280 Introduction to Digital Logic Design Dr. Lukasz Kurgan (section A1) office: ECERF 6 th floor,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

ESE534: Computer Organization. Previously. Wires and VLSI. Today. Visually: Wires and VLSI. Preclass 1

ESE534: Computer Organization. Previously. Wires and VLSI. Today. Visually: Wires and VLSI. Preclass 1 ESE534: Computer Organization Previously Day 16: October 26, 2016 Interconnect 2: Wiring Requirements and Implications Identified need for Interconnect Explored mux and crossbar interconnect Seen that

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

What this paper is about:

What this paper is about: The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays Steve Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, Canada Su-Shin

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

VLSI Implementation of Real-Time Parallel

VLSI Implementation of Real-Time Parallel VLSI Implementation of Real-Time Parallel DCT/DST Lattice Structures for Video Communications* C.T. Chiu', R. K. Kolagotla', K.J.R. Liu, an.d J. F. JfiJB. Electrical Engineering Department Institute of

More information

Computer Hardware Engineering (IS1200) Computer Organization and Components (IS1500) Fall 2017 Lecture 7: Combinational Logic

Computer Hardware Engineering (IS1200) Computer Organization and Components (IS1500) Fall 2017 Lecture 7: Combinational Logic Computer Hardware ngineering (I2) Computer Organization and Components (I5) Fall 27 Lecture 7: Combinational Logic Optional for I2, compulsory for I5 Fredrik Lundevall lides by David roman and Fredrik

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information