Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel.

Size: px
Start display at page:

Download "Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel."

Transcription

1 Case Study Image Processing Image processing From a hardware perspective Often massively yparallel Can be used to increase throughput Memory intensive Storage size Memory bandwidth -diemensional Image Convolution N For each pixel position in the MxMM image, the kernel value is multiplied with the underlying pixel value and those are added N to produce the output value: k, k xk m, k m hm m y, Edge detection and zero crossings with different kernel size A frame is added to avoid M border effects. Image processing is memory intensive!

2 Grain recognition Increasing filter size more calculations Grain recognition Increasing filter size more calculations Filter size 5 x 5 Edge detection 5 multiplications per pixel What datapath architecture? Hardware mapped, i.e. 5 multipliers + adds Single MAC (Multiply Accumulate) unit Adder tree structure of processor core 5 pixels read on each clock cycle Pipelined Adder Tree Hardware for one column each clock cycle Accumulator

3 Adder tree structure of processor core 5 pixels read on each clock cycle Datapath Chip, 993 Increased wordlength to keep precision and avoid overflow Guard bits in accumulator and truncated output m standard CMOS technology approx. 5 transistors die area, 8x6,5 mm -diemensional Image Convolution MxMM N Off-chip image memory Large High power How to use line memories Initial filling New line N Every pixel used in several calculations M ( N M ) ) Each pixel operation M Multi-level memory hierarchy can be used. + shift one pixel between memories Only one external memory read per pixel!

4 Memory Hierarchy, accesses Image memory off-chip N M Line memories N( M ) M M- with N words witk M words Scheme Image Line Kernel Image M (N-M+) Image line N M (N-M+) Kernel memories M Image kernel MN(N-M+) M (N-M+) Image line kernel N MN(N-M+) M (N-M+) Memory Hierarchy, energy Image memory off-chip,.8m N 6nJ/access.35m CMOS Kernel Line memories memories N( M ) M 4nJ/access Scheme M nj/access energy N= 4 Image 3.8J M = 5 Image line.j Wordlength = 6 Image kernel.j Image line kernel.4j Image processor without controller Tailored architecture in the datapath design Data out - 4x4 bits Compared to a TMS3C8 Multimedia Video Processor (MVP) Published bits address line Cyclic column storage APU 3 Control signals from controller Cache level 3 (5x6) Kernel moving one pixel to the right Processor core New column written to cache for each new pixel operation Processor core Processor core 3 System bus 5x8 bits Processor core 4 8 bits Designed: MVP: MHz 5MHz APU Line memories with pipelined registers level (5x56) Input buffer Large off-chip memories level (56x56) Unfilled memory New value feeded during elements each new pixel operation MVP: 4 parallel DSPs + master processor [3]. Each DSP unit contains one 6x6 bit multiplier, which can be split into two 8x8 bit multipliers

5 We have registers, why memories? D Flip-flop : 5µm Memory element : 3µm Memory Considerations Flip-flops vs. SRAM Alcatel Microelectronics.35µm CMOS technology process Process and library dependent but same trends.8 square mm Flip-flops Dual port memory Single port memory Double width memory Hardware Aspects of a Real-time Surveillance System memory elements Crossover approx bits for this technology

6 An Intelligent t Surveillance System The PhD Student Team Segmentation Morphology Labeling Feature extraction Tracking Object classification Tracked Objects Three PhD Students: Hongtu Jiang; Sensor interface and segmentation. PhD February 7 Input: Output: Video from stationary camera Tracked Objects Spec: Xilinx Virtex II-Pro Development Platform Resolution 3x4 5 frames per second Architectures for local decisions Embedded system requires real time and low power Fredrik Kristensen; System Overview, feature extraction and tracking PhD September 7 Hugo Hedberg, Morphology and labeling PhD April 8 References see: The end result System Segmentation algorithm Morph filter and labeling CAM Feature extraction Tracking Object size = 37 position = (56, 8) color_ = 37

7 Segmentation Background Modeling P ( x,y ) P ( x,y ) P 3 ( x,y ) P n ( x,y ) Sample background environment in the digital lab 3 n Consecutive Video Frames Detects motion Generates a noisy binary mask due to errors caused by camera, fast light changes etc. B Pixel values taken from same location in consecutive video frames looks like a Gaussian distribution in RGB color space, i.e. even when nothing is happening it s not a single value R 6 G Multi-modal l Background Video segmentation based on Gaussian Mixture background Model (Stauffer and Grimson) 3 Detect moving object in image sequences 5 B More complicated background pixels such as lake surface and swaying trees have the property of two distributions requiring two Gaussian to model G R 5 Motion Detection Each pixel over time is a pixel process, modeled by Gaussian distributions Each background object correspond to one Gaussian GMM is robust for handling multi-modal background situations swaying trees lake surface etc.

8 Hardware Implementation Considerations Sorting of Gaussians RGB pixel stream Gaussian Parameter Memory Memory Bottleneck Matching Network Bitmask Decision Network Labeling Post-processing Fully parallel and pipelined design aiming for one pixel per clock cycle Most important design parameter: High memory bandwidth: 5 variables/pixel + RGB, i.e. 5 parameters for each Guassian distribution x 3 Labeled Bitstream Hardware Implementation ti Considerations Decoding + Buffer Sorting of Gaussians RGB pixel stream Gaussian Parameter Memory Encoding Matching Network Bitmask Decision Network Labeling Post-processing Idea: Neighbouring pixels have similar parameters Use some form of Run Length Encoding Labeled Bitstream Simulations show reduction of memory access by >5% Memory bandwidth reduction Kodak CMOS Sensor DDR SDRAM Parameter Reforming Matching & Sorting Parameter Saving Bitmask Variance x.5 Mean Two Overlapping Gaussian Distributions (Red Cube) Gaussian Distribution represented as a Cube Cons: more noise is generated in the binary mask Pros: If Gaussians with 8% overlap is regarded as the same Gaussian, more than 6% memory saving can be expected Memory Reduction Results reduction Memory bandwidth r Frame reduction memory bandwidth r Threshold Different memory bandwidth savings with different threshold Too low threshold results in clustered noise that can not be removed by morphology

9 Memory Reduction Results Segmentation results Segmentation with different threshold Results after morphology Clustered noise Shadow reduction is important! System Segmentation algorithm Morph filter and labeling CAM Feature extraction Tracking Original image Output image after segmentation Object size = 37 position = (56, 8) color_ = 37

10 Segmented input image Output t image after clustering Morphology Greek morphe shape, ology the study of The study of shapes Applies to many number representations In our application, only binary input is considered Structuring t element (SE) Arbitrary binary image Sliding window / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / 3x3 SE Origin Morphology Morphology Similar to convolution but more on the logic level Important operations Erosion: Shrinks (minimum) Dilation: Expands (maximum) Opening (erosion followed by dilation): Noise reduction Closing (dilation followed by erosion): Reconnect split objects SE Opening: Erosion followed by dilation Noise reduction Erosion Dilation

11 Morphology, erosion ( and ) Morphology, dilation ( or ) = = Morphology, sliding window Direct-mapped implementation ff ff 3 FIFO 4,5,6 Index image ff 8 ff 9,, FIFO ff 4 ff 5 Input 6,..,36 Erosion / Dilation Morphology, sliding window Direct-mapped implementation 3 ff ff 4 FIFO 5,6,7 Index image ff 9 ff,,3 FIFO ff 5 ff 6 Input 7,8,9 Erosion / Dilation Output Output

12 Morphology, sliding window Decomposition Direct-mapped implementation 3 ff ff 4 5,6,7 FIFO Index image ff 9 ff 3 3,3,33 FIFO ff 35 ff 36 Input -,-,- Erosion / Dilation B B B SE xse = width height ht SE width SE h eight Output Pros: Supports arbitrary SEs Cons: Unsuitable for large SEs Compare to D- Convolution Architecture! Morphology, erosion ( and ) Morphology, erosion ( and ) = =

13 Decomposition st step Decomposition nd step = = Morphology Morphology Architecture ff Architecture ff Row mem...,,,, In,,,... + =SE =3 width..., Out,,...,,...,...,...,...,... In + =SE width + =SE height Out Stage : Number of ones in the same row Stage : Number of ones in the same row Stage : Number of consecutive lines with SE width ones SE width SE width SE heig ght = SE width x SE height

14 Architecture Morphology ff Row mem Duality A B A B A B A B In + =SE width + =SE height Out Stage : Number of ones in the same row Stage : Number of consecutive lines with SE width ones A B Duality, example A B A B ( A B) Duality A B A B A B A B Both operations on same hardware by inverting the input and output streams.

15 Morphology Morphology In Architecture Operation Mu ux South & East W ff West N + =SE width + Row mem North =SE height Mu x Operation Out In our application Noise reduction Reconnect split objects Low complexity architecture with low memory requirements Stage : Inverts if dilation is performed Stage : Number of ones in the same row Stage : Number of consecutive lines with SE width ones Stage 3: Inverts if dilation is performed Duality Prototype t Embedded Hardware Platform DDR memory Sensor Segm. Morph FIFO Label Feat. Mem Feat. Mem Bus SW Mem DISPLAY VGA CTRL VGA Memory Label Mem Label Mem PPC Read- & Drawboxes FPGA-chip Result Mem

16 Digital Holography Transposition o Application Microscope based on Digital Holography Digital Holography Digital image sensor A digital image sensor replaces the photographic film Interference pattern, reference and object light is captured separately Computer algorithm generates the image Reference Light Object Object Light Laser Advantage - Phase information Makes transparent objects visible Unwrapped phase Amplitude Refraction index Head of a greenfly Advantage Focus All focus information in one single recording mm

17 Phase Holographic Imaging cell analyzer to envision and monitor transparent living cells in vitro, in their growth environment without the need for artificial staining and makes quantification of a large number of parameters possible to perform in real-time Time-lapse study of cell division: Wilms tumor is a rare type of kidney cancer that affects children. Pseudo 3D-image of cells generated from the phase information. Time-lapse study: a sequence of consequtive images Important issues Processing and efficiency Processor vs. FPGA/ASIC Memory access and throughput FFT Selection

18 XSTREAM - D FFT A two-dimensional FFT can be evaluated by Applying a one-dimensional FFT over the rows Applying a one-dimensional FFT over the column of the result Burst read Column access is slow Transpose the memory between operations and only operate on rows Memory and throughput Overhead = (Setup+N) / N N= Overhead 8% N=3 Overhead % Burst access N- XSTREAM - Transpose Divide the matrix into macro-blocks (3x3) Transpose macro-blocks individually Relocate transposed macro-blocks Divided Transpose

19 Divided Transpose Divided Transpose Divided Transpose XSTREAM - D FFT A rather small burst size gives a large gain!

Real-Time License Plate Localisation on FPGA

Real-Time License Plate Localisation on FPGA Real-Time License Plate Localisation on FPGA X. Zhai, F. Bensaali and S. Ramalingam School of Engineering & Technology University of Hertfordshire Hatfield, UK {x.zhai, f.bensaali, s.ramalingam}@herts.ac.uk

More information

An FPGA 1Gbps Wireless Baseband MIMO Transceiver

An FPGA 1Gbps Wireless Baseband MIMO Transceiver An FPGA 1Gbps Wireless Baseband MIMO Transceiver Center the Authors Names Here [leave blank for review] Center the Affiliations Here [leave blank for review] Center the City, State, and Country Here (address

More information

Image processing with the HERON-FPGA Family

Image processing with the HERON-FPGA Family HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk http://www.hunteng.co.uk http://www.hunt-dsp.com

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Design Issues in VLSI Implementation of Image Processing Hardware Accelerators

Design Issues in VLSI Implementation of Image Processing Hardware Accelerators Design Issues in VLSI Implementation of Image Processing Hardware Accelerators Methodology and Implementation Hongtu Jiang Lund 2007 Department of Electroscience Lund University Box 118, S-221 00 LUND

More information

A High Definition Motion JPEG Encoder Based on Epuma Platform

A High Definition Motion JPEG Encoder Based on Epuma Platform Available online at www.sciencedirect.com Procedia Engineering 29 (2012) 2371 2375 2012 International Workshop on Information and Electronics Engineering (IWIEE) A High Definition Motion JPEG Encoder Based

More information

PLazeR. a planar laser rangefinder. Robert Ying (ry2242) Derek Xingzhou He (xh2187) Peiqian Li (pl2521) Minh Trang Nguyen (mnn2108)

PLazeR. a planar laser rangefinder. Robert Ying (ry2242) Derek Xingzhou He (xh2187) Peiqian Li (pl2521) Minh Trang Nguyen (mnn2108) PLazeR a planar laser rangefinder Robert Ying (ry2242) Derek Xingzhou He (xh2187) Peiqian Li (pl2521) Minh Trang Nguyen (mnn2108) Overview & Motivation Detecting the distance between a sensor and objects

More information

Hardware-based Image Retrieval and Classifier System

Hardware-based Image Retrieval and Classifier System Hardware-based Image Retrieval and Classifier System Jason Isaacs, Joe Petrone, Geoffrey Wall, Faizal Iqbal, Xiuwen Liu, and Simon Foo Department of Electrical and Computer Engineering Florida A&M - Florida

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Design of Parallel Algorithms. Communication Algorithms

Design of Parallel Algorithms. Communication Algorithms + Design of Parallel Algorithms Communication Algorithms + Topic Overview n One-to-All Broadcast and All-to-One Reduction n All-to-All Broadcast and Reduction n All-Reduce and Prefix-Sum Operations n Scatter

More information

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE R.ARUN SEKAR 1 B.GOPINATH 2 1Department Of Electronics And Communication Engineering, Assistant Professor, SNS College Of Technology,

More information

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris. Jestr Journal of Engineering Science and Technology Review 9 (5) (2016) 51-55 Research Article Design and Implementation of an Open Image Processing System based on NIOS II and Altera DE2-70 Board L. Pyrgas,

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Digital Image Processing 3/e

Digital Image Processing 3/e Laboratory Projects for Digital Image Processing 3/e by Gonzalez and Woods 2008 Prentice Hall Upper Saddle River, NJ 07458 USA www.imageprocessingplace.com The following sample laboratory projects are

More information

Multi-Channel FIR Filters

Multi-Channel FIR Filters Chapter 7 Multi-Channel FIR Filters This chapter illustrates the use of the advanced Virtex -4 DSP features when implementing a widely used DSP function known as multi-channel FIR filtering. Multi-channel

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Multi-core Platforms for

Multi-core Platforms for 20 JUNE 2011 Multi-core Platforms for Immersive-Audio Applications Course: Advanced Computer Architectures Teacher: Prof. Cristina Silvano Student: Silvio La Blasca 771338 Introduction on Immersive-Audio

More information

Efficient Construction of SIFT Multi-Scale Image Pyramids for Embedded Robot Vision

Efficient Construction of SIFT Multi-Scale Image Pyramids for Embedded Robot Vision Efficient Construction of SIFT Multi-Scale Image Pyramids for Embedded Robot Vision Peter Andreas Entschev and Hugo Vieira Neto Graduate School of Electrical Engineering and Applied Computer Science Federal

More information

Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter

Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter Welcome Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter Stefan Tabel and Korbinian Weikl Semiconductor Laboratory of the Max Planck Society, Munich, Germany Walter Stechele

More information

SVT-Pixel layer 0 recent achievements on chip readout architectures

SVT-Pixel layer 0 recent achievements on chip readout architectures SVT-Pixel layer 0 recent achievements on chip readout architectures Filippo Maria Giorgi - INFN and University of Bologna on behalf of the VIPIX collaboration XII SuperB General Meeting Annecy, March 5

More information

Exploring Computation- Communication Tradeoffs in Camera Systems

Exploring Computation- Communication Tradeoffs in Camera Systems Exploring Computation- Communication Tradeoffs in Camera Systems Amrita Mazumdar Thierry Moreau Sung Kim Meghan Cowan Armin Alaghi Luis Ceze Mark Oskin Visvesh Sathe IISWC 2017 1 Camera applications are

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information

Real-Time Face Detection and Tracking for High Resolution Smart Camera System

Real-Time Face Detection and Tracking for High Resolution Smart Camera System Digital Image Computing Techniques and Applications Real-Time Face Detection and Tracking for High Resolution Smart Camera System Y. M. Mustafah a,b, T. Shan a, A. W. Azman a,b, A. Bigdeli a, B. C. Lovell

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

A NOVEL VISION SYSTEM-ON-CHIP FOR EMBEDDED IMAGE ACQUISITION AND PROCESSING

A NOVEL VISION SYSTEM-ON-CHIP FOR EMBEDDED IMAGE ACQUISITION AND PROCESSING A NOVEL VISION SYSTEM-ON-CHIP FOR EMBEDDED IMAGE ACQUISITION AND PROCESSING Neuartiges System-on-Chip für die eingebettete Bilderfassung und -verarbeitung Dr. Jens Döge, Head of Image Acquisition and Processing

More information

CS 484, Fall 2018 Homework Assignment 1: Binary Image Analysis

CS 484, Fall 2018 Homework Assignment 1: Binary Image Analysis CS 484, Fall 2018 Homework Assignment 1: Binary Image Analysis Due: October 31, 2018 The goal of this assignment is to find objects of interest in images using binary image analysis techniques. Question

More information

Computing for Engineers in Python

Computing for Engineers in Python Computing for Engineers in Python Lecture 10: Signal (Image) Processing Autumn 2011-12 Some slides incorporated from Benny Chor s course 1 Lecture 9: Highlights Sorting, searching and time complexity Preprocessing

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

User Manual for HoloStudio M4 2.5 with HoloMonitor M4. Phase Holographic Imaging

User Manual for HoloStudio M4 2.5 with HoloMonitor M4. Phase Holographic Imaging User Manual for HoloStudio M4 2.5 with HoloMonitor M4 Phase Holographic Imaging 1 2 HoloStudio M4 2.5 Software instruction manual 2013 Phase Holographic Imaging AB 3 Contact us: Phase Holographic Imaging

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC024) ISSN (online): 2349-0020 A Novel High

More information

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont.

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont. TSTE17 System Design, CDIO Lecture 5 1 General project hints 2 Project hints and deadline suggestions Required documents Modulation, cont. Requirement specification Channel coding Design specification

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Objectives In this chapter, you will learn about The binary numbering system Boolean logic and gates Building computer circuits

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Department of Electrical and Computer

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

A Review on Different Multiplier Techniques

A Review on Different Multiplier Techniques A Review on Different Multiplier Techniques B.Sudharani Research Scholar, Department of ECE S.V.U.College of Engineering Sri Venkateswara University Tirupati, Andhra Pradesh, India Dr.G.Sreenivasulu Professor

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Evolution of DSP Processors. Kartik Kariya EE, IIT Bombay

Evolution of DSP Processors. Kartik Kariya EE, IIT Bombay Evolution of DSP Processors Kartik Kariya EE, IIT Bombay Agenda Expected features of DSPs Brief overview of early DSPs Multi-issue DSPs Case Study: VLIW based Processor (SPXK5) for Mobile Applications

More information

Real Time Implementation of Medical Images Segmentation Using Xilinx System Generator

Real Time Implementation of Medical Images Segmentation Using Xilinx System Generator International Review on Computers and Software (I.RE.CO.S.), Vol. 7, N. 6 ISSN 1828-6003 November 2012 Real Time Implementation of Medical Images Segmentation Using Xilinx System Generator Fayçal Hamdaoui

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

Proc. IEEE Intern. Conf. on Application Specific Array Processors, (Eds. Capello et. al.), IEEE Computer Society Press, 1995, 76-84

Proc. IEEE Intern. Conf. on Application Specific Array Processors, (Eds. Capello et. al.), IEEE Computer Society Press, 1995, 76-84 Proc. EEE ntern. Conf. on Application Specific Array Processors, (Eds. Capello et. al.), EEE Computer Society Press, 1995, 76-84 Session 2: Architectures 77 toning speed is affected by the huge amount

More information

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April-2017 324 FPGA Implementation of Reconfigurable Processor for Image Processing Ms. Payal S. Kadam, Prof. S.S.Belsare

More information

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Journal of Computer Science 7 (12): 1894-1899, 2011 ISSN 1549-3636 2011 Science Publications Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Muhammad

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

A PIPELINE FFT PROCESSOR

A PIPELINE FFT PROCESSOR A PPELNE FFT PROCESSOR Weidong Li Electrical Engineering Dept. Linkoping University Lin koping SE-581 83 Sweden Lars Wanhammar Electrical Engineering Dept. Linkoping University Linkoping SE-581 83 Sweden

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA

CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA 90 CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA 5.1 INTRODUCTION A combinational circuit consists of logic gates whose outputs at any time are determined directly from the present combination

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c 6th International Conference on Mechatronics, Computer and Education Informationization (MCEI 2016) Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao

More information

Creating Intelligence at the Edge

Creating Intelligence at the Edge Creating Intelligence at the Edge Vladimir Stojanović E3S Retreat September 8, 2017 The growing importance of machine learning Page 2 Applications exploding in the cloud Huge interest to move to the edge

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Energy efficient multi-granular arithmetic in a coarse-grain reconfigurable architecture

Energy efficient multi-granular arithmetic in a coarse-grain reconfigurable architecture Eindhoven University of Technology MASTER Energy efficient multi-granular arithmetic in a coarse-grain reconfigurable architecture Louwers, S.T. Award date: 216 Link to publication Disclaimer This document

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Abstract A new low area-cost FIR filter design is proposed using a modified Booth multiplier based on direct form

More information

COMPARATIVE PERFORMANCE ANALYSIS OF HAND GESTURE RECOGNITION TECHNIQUES

COMPARATIVE PERFORMANCE ANALYSIS OF HAND GESTURE RECOGNITION TECHNIQUES International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 9, Issue 3, May - June 2018, pp. 177 185, Article ID: IJARET_09_03_023 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=9&itype=3

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations Mokhtar Aboelaze Dept of Electrical Engineering and Computer Science Lassonde School of Engineering York University Toronto

More information

MAV-ID card processing using camera images

MAV-ID card processing using camera images EE 5359 MULTIMEDIA PROCESSING SPRING 2013 PROJECT PROPOSAL MAV-ID card processing using camera images Under guidance of DR K R RAO DEPARTMENT OF ELECTRICAL ENGINEERING UNIVERSITY OF TEXAS AT ARLINGTON

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m )

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) Abstract: This paper proposes an efficient pipelined architecture of elliptic curve scalar multiplication (ECSM)

More information

Scrabble Board Automatic Detector for Third Party Applications

Scrabble Board Automatic Detector for Third Party Applications Scrabble Board Automatic Detector for Third Party Applications David Hirschberg Computer Science Department University of California, Irvine hirschbd@uci.edu Abstract Abstract Scrabble is a well-known

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism 18 th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), May 7-9, 2012, Copenhagen! High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language

Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language Design and Implementation of a Digital Image Processor for Image Enhancement Techniques using Verilog Hardware Description Language DhirajR. Gawhane, Karri Babu Ravi Teja, AbhilashS. Warrier, AkshayS.

More information

CS61c: Introduction to Synchronous Digital Systems

CS61c: Introduction to Synchronous Digital Systems CS61c: Introduction to Synchronous Digital Systems J. Wawrzynek March 4, 2006 Optional Reading: P&H, Appendix B 1 Instruction Set Architecture Among the topics we studied thus far this semester, was the

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

AN ERROR LIMITED AREA EFFICIENT TRUNCATED MULTIPLIER FOR IMAGE COMPRESSION

AN ERROR LIMITED AREA EFFICIENT TRUNCATED MULTIPLIER FOR IMAGE COMPRESSION AN ERROR LIMITED AREA EFFICIENT TRUNCATED MULTIPLIER FOR IMAGE COMPRESSION K.Mahesh #1, M.Pushpalatha *2 #1 M.Phil.,(Scholar), Padmavani Arts and Science College. *2 Assistant Professor, Padmavani Arts

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Parallel Architecture for Optical Flow Detection Based on FPGA

Parallel Architecture for Optical Flow Detection Based on FPGA Parallel Architecture for Optical Flow Detection Based on FPGA Mr. Abraham C. G 1, Amala Ann Augustine Assistant professor, Department of ECE, SJCET, Palai, Kerala, India 1 M.Tech Student, Department of

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

NON UNIFORM BACKGROUND REMOVAL FOR PARTICLE ANALYSIS BASED ON MORPHOLOGICAL STRUCTURING ELEMENT:

NON UNIFORM BACKGROUND REMOVAL FOR PARTICLE ANALYSIS BASED ON MORPHOLOGICAL STRUCTURING ELEMENT: IJCE January-June 2012, Volume 4, Number 1 pp. 59 67 NON UNIFORM BACKGROUND REMOVAL FOR PARTICLE ANALYSIS BASED ON MORPHOLOGICAL STRUCTURING ELEMENT: A COMPARATIVE STUDY Prabhdeep Singh1 & A. K. Garg2

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

VLSI Implementation of Impulse Noise Suppression in Images

VLSI Implementation of Impulse Noise Suppression in Images VLSI Implementation of Impulse Noise Suppression in Images T. Satyanarayana 1, A. Ravi Chandra 2 1 PG Student, VRS & YRN College of Engg. & Tech.(affiliated to JNTUK), Chirala 2 Assistant Professor, Department

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

A Rotation-based Data Buffering Architecture for Convolution Filtering in a Field Programmable Gate Array

A Rotation-based Data Buffering Architecture for Convolution Filtering in a Field Programmable Gate Array JURNAL CMPUTER, VL 8, N 6, JUNE 2013 1411 A Rotation-based Data Buffering Architecture for Convolution iltering in a ield Programmable Gate Array Zhijian Lu College of Computer cience and Technology Harbin

More information

Morphological Image Processing Approach of Vehicle Detection for Real-Time Traffic Analysis

Morphological Image Processing Approach of Vehicle Detection for Real-Time Traffic Analysis Morphological Image Processing Approach of Vehicle Detection for Real-Time Traffic Analysis Prutha Y M *1, Department Of Computer Science and Engineering Affiliated to VTU Belgaum, Karnataka Rao Bahadur

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

Eight Bit Serial Triangular Compressor Based Multiplier

Eight Bit Serial Triangular Compressor Based Multiplier Proceedings of the International MultiConference of Engineers Computer Scientists Vol II IMECS, 9- March,, Hong Kong Eight Bit Serial Triangular Compressor Based Multiplier Aqib Perwaiz, Shoab A Khan Abstract-

More information

Implementation of a Streaming Camera using an FPGA and CMOS Image Sensor. Daniel Crispell Brown University

Implementation of a Streaming Camera using an FPGA and CMOS Image Sensor. Daniel Crispell Brown University Implementation of a Streaming Camera using an FPGA and CMOS Image Sensor Daniel Crispell Brown University 1. Introduction Because of the constantly decreasing size and cost of image sensors and increasing

More information

FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform

FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform FPGA implementation of Generalized Frequency Division Multiplexing transmitter using NI LabVIEW and NI PXI platform Ivan GASPAR, Ainoa NAVARRO, Nicola MICHAILOW, Gerhard FETTWEIS Technische Universität

More information

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method International Journal of Recent Technology and Engineering (IJRTE) ISSN: 2277-3878, Volume-3, Issue-1, March 2014 The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method

More information

A Comparison of Two Computational Technologies for Digital Pulse Compression

A Comparison of Two Computational Technologies for Digital Pulse Compression A Comparison of Two Computational Technologies for Digital Pulse Compression Presented by Michael J. Bonato Vice President of Engineering Catalina Research Inc. A Paravant Company High Performance Embedded

More information

Classification Accuracies of Malaria Infected Cells Using Deep Convolutional Neural Networks Based on Decompressed Images

Classification Accuracies of Malaria Infected Cells Using Deep Convolutional Neural Networks Based on Decompressed Images Classification Accuracies of Malaria Infected Cells Using Deep Convolutional Neural Networks Based on Decompressed Images Yuhang Dong, Zhuocheng Jiang, Hongda Shen, W. David Pan Dept. of Electrical & Computer

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Reconfigurable Video Image Processing

Reconfigurable Video Image Processing Chapter 3 Reconfigurable Video Image Processing 3.1 Introduction This chapter covers the requirements of digital video image processing and looks at reconfigurable hardware solutions for video processing.

More information

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education Socware, Pacwoman & Flexible Radio Peter Nilsson Program Manager Socware Research & Education Associate Professor Digital ASIC Group Department of Electroscience Lund University Socware: System-on-Chip

More information

Memory-Efficient Algorithms for Raster Document Image Compression*

Memory-Efficient Algorithms for Raster Document Image Compression* Memory-Efficient Algorithms for Raster Document Image Compression* Maribel Figuera School of Electrical & Computer Engineering Ph.D. Final Examination June 13, 2008 Committee Members: Prof. Charles A.

More information