Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Size: px
Start display at page:

Download "Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1"

Transcription

1 Chapter 3 hardware software H/w s/w interface Problems Algorithms Prog. Lang & Interfaces Instruction Set Architecture Microarchitecture (Organization) Circuits Devices (Transistors) Bits 29 Vijaykumar ECE495K Lecture Notes: Chapter 3

2 How does a light switch work? I thought that this class was about computers 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 2

3 The light switch: off switch 2 VAC Switch in off position means circuit is open, so electrons can t flow. The bulb stays dim. 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 3

4 The light switch: on switch 2 VAC Switch in on position means circuit is closed, so electrons do flow and light the bulb. 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 4

5 Switches implement bits Switch in on or off position leads to circuit opened or closed, which in turn leads to light on/off Recall that on and off correspond to bits What we want: a switch that is turned on and off by electricity instead of mechanical means 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 5

6 Introducing the transistor 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 6

7 Properties of silicon Silicon is a semiconductor conducts electricity only under certain circumstances Silicon lattice can be doped with other atoms Group V (e.g., phosphorus) gives free electrons (n-type) Group III (e.g., boron) gives free electron holes (p-type) Both enable conduction More detail in later courses (ECE 255, 35) 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 7

8 Transistor basics N-type (sourc e) gate oxide P-type (substrate) N-type (drain) Above is an NMOS (N-channel metal-oxide semiconductor) Field Effect Transistor (FET) Applying positive voltage to gate (with respect to substrate) causes electrons and holes to migrate and forms an N-type channel below oxide, allowing current to flow from drain to source NMOS on 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 8

9 Types of transistors N-channel MOSFET (NMOS) previous slide P-channel MOSFET (PMOS) opposite of NMOS P-type source and drain with N-type substrate Current flows between source and drain when negative voltage at gate (with respect to substrate) (P channel forms below oxide) PMOS on Transistors are electrically-controlled switches! When current flows, source and drain have same voltage Otherwise, source and drain electrically disconnected NO relationship betweens source and drain voltages 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 9

10 Conventions Ground (GND): zero voltage applied to N substrate (in PMOS) Represents voltage of the earth, the ultimate acceptor of electrons Usually logic (exceptions later) V dd : positive voltage applied to P substrate (in NMOS) Has consistently dropped over the years as transistors have gotten smaller 2V, 5V, 3.3V, 2.9V, 2.5V, 2.2V,.8V,.5V,.3V, Usually logic (exceptions later) 29 Vijaykumar ECE495K Lecture Notes: Chapter 3

11 Transistor diagrams NMOS PMOS Treat them as switches between source and drain which turn on when appropriate voltage applied to gate (high voltage for NMOS, low voltage for PMOS) 29 Vijaykumar ECE495K Lecture Notes: Chapter 3

12 Complementary metal oxide semiconductor Neat property of NMOS and PMOS High voltage turns on NMOS, but turns off PMOS Low voltage turns on PMOS, but turns off NMOS PMOS conducts well and not well NMOS conducts well and not well Circuits use both PMOS and NMOS PMOS for cases where output is and NMOS for Complementary behavior leads to CMOS There are others types of logic CMOS is the dominant type of logic in industry today 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 2

13 Using the CMOS property Vdd High input: turns on NMOS, turns off PMOS Output is then connected to??? Vin Vout Low input: turns on PMOS, turns off NMOS Output is then connected to??? What logic operation is this? Complementary behavior: PMOS provides high output (NMOS off) NMOS provides low output (PMOS off) GND 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 3

14 x y NAND in CMOS x NAND y (step ) Use PMOS to output and NMOS to output (step 2) NAND outputs if either input is => PMOS in parallel NAND outputs if both inputs are => NMOS in series 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 4

15 Claim: This implements a NAND (NOT of AND) A B Vdd Vdd Vout Low A: turns on??? Output is then connected to??? Low B: turns on??? Output is then connected to??? High A and B turn on??? Output is then connected to??? Complementary behavior: PMOS output high (NMOS off) NMOS output low (PMOS off) GND 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 5

16 Claim: This implements a AND (invert NAND) Vdd Vdd Vdd A Vout Vin Vout GND B NAND followed by NOT In CMOS, NAND is easier than AND Similarly NOR is easier than OR GND 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 6

17 Must be careful with CMOS A Vdd Vout Output (or any other point in the circuit) can never be simultaneously connected to both Vdd and GND Otherwise, you have a short circuit (huge current until something catches fire & blows up) E.g., if A low and B high to the left Not a problem usually (why?) B GND Sometimes ok to have output disconnected from both Vdd and GND Called tri-state or high-z More in later classes Vijaykumar ECE495K Lecture Notes: Chapter 3 7

18 Exercises How to implement a NOR? How to implement an AND? How to implement a 3-input NAND? Recall from Chapter 2 we said that and are easily available in real designs where are they? Read pages Vijaykumar ECE495K Lecture Notes: Chapter 3 8

19 Techniques for Logic Design 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 9

20 Designing Logic Ultimately, all logic and computation performed with transistors IBM s CELL processor in PS3 has 2 million+ of them Lots of wires too to connect up all those transistors We need some slightly more abstract notation for design Otherwise, we are likely to get lost in sea of transistors Draw diagrams at level of logic functions instead of individual transistors 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 2

21 Logic Diagrams A digital logic primitive is called a gate Not to be confused with gate of transistor AND OR NOT NAND NOR XOR XNOR 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 2

22 What does the bubble mean? AND NAND Bubble means inversion active low or negative logic True is low voltage, False is high voltage (instead of usual) A NAND is shown as an AND with an active low output Bubbles can also be applied to inputs 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 22

23 What does this gate do? OR with both inputs active low So, output is high voltage only if either input is low Which gate has the same behavior? 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 23

24 DeMorgan s Law = = Can be used for logic simplification Connecting a bubble input to a bubble output is equivalent to connecting a regular input to a regular output 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 24

25 Using CMOS gates to implement logic Recall from Chapter 2 OR, AND, & NOT logically complete They are enough to implement any truth table(logic expression) All logic expressions can be expressed as sum-of-products OR of multiple ANDed terms, which may include NOTs E.g, x y f 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 25

26 Using CMOS gates to implement logic How can we express any truth table as sum of products? Step : Pick rows with output Step 2: For each such row, make a term by ANDing inputs together using input if value is and input if value is Step 3 OR the terms for the rows to get the whole logic function In our eg, x y for row 2, xy for row 3 Thus, f = x y + xy sum of products using only AND, OR, NOT We can do this for any function with any number of inputs 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 26

27 Using CMOS gates to implement logic Sum of products is AND, OR, NOT but CMOS can easily implement NOT, NAND, NOR OR, AND are harder (AND = NOT of NAND and OR = NOT of NOR) Question: So how to implement sum of products? 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 27

28 Using CMOS gates to implement logic Answer: Convert AND, OR to NAND why is that always possible? e.g., f = xy + x y X Y Step : Draw out logic for specified function 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 28

29 Using CMOS gates to implement logic e.g., f = xy + x y X Y Step 2: Apply DeMorgan s Law to convert ANDs and ORs 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 29

30 Using CMOS gates to implement logic e.g., f = xy + x y X Y Step 3: Eliminate bubbles between OR outputs and AND inputs 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 3

31 Using CMOS gates to implement logic e.g., f = xy + x y X Y Step 4: Apply DeMorgan s on low-input ORs to form NANDs Result CMOS-friendly circuit using only NAND 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 3

32 Using CMOS gates to implement logic Like the previous transformation, there are ways to transform and optimize circuits E.g., minimize the number of logic gates Such optimizations are covered in later classes (ECE 27) 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 32

33 General Method to get any logic functionality Write out the truth table Specifies what functionality you want Derive sum of products Specifies functionality in logic expression Draw logic circuit with NOT OR and AND Follow previous method using DeMorgan s Law to convert the logic circuit to CMOS-friendly circuit using NAND only Read pages Vijaykumar ECE495K Lecture Notes: Chapter 3 33

34 More Gate Diagrams 3-input AND: output is true only if all three inputs are true (xyz) 4-input NAND: output is false only if all four inputs are true (xyzw) Gates can have an arbitrary number of inputs ( fan-in ) Each output can also connect to an arbitrary number of other gates ( fan-out ) (In reality, both of the above impact design and performance) 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 34

35 Useful logic circuits 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 35

36 Useful Circuit blocks Generic building blocks useful in all kinds of digital circuits Processors have thousands of these Eg : decoder: Given a n-bit value, identifies the corresponding item among 2 n things Eg 2: Multiplexor: Chooses one of many inputs 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 36

37 Decoder Has n inputs (selector) and 2 n outputs Exactly one output is for every possible input pattern A B if A=, B= if A=, B= if A=, B= if A=, B= S2 S S 3-bit decoder D7 D6 D5 D4 D3 D2 D D 2-bit decoder convenient notation 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 37

38 Multiplexer (MUX) Uses n-bit selector to choose one among 2 n inputs S S A B A if S=, S=; otherwise B if S=, S=; otherwise C C if S=, S=; otherwise D if S=, S=; otherwise D Called a 4-to- mux ; can generate any 2-input function 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 38

39 Multiplexer (MUX) cont d Uses n-bit selector to choose one among 2 n inputs IN7 IN6 IN5 S2 S S Convenient shorthand notation IN4 IN3 IN2 IN 8- mux OUT Exercise: Implement a mux using a decoder, AND gates, and OR gates IN 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 39

40 Let s build an adder now Arithmetic is just a type of logic! 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 4

41 -bit Addition Using Digital Logic ( of 3) What are the inputs? X Y Cin Sum Cout Input X Input Y Carry in What are the outputs? Sum Carry out Form a truth table 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 4

42 -bit Addition Using Digital Logic (2 of 3) Make sum-of-products X Y Cin Sum Cout Add one term for each input combo that causes output to be Sum = x y c in + x yc in + xy c in + xyc in C out = x yc in + xy c in + xyc in + xyc in 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 42

43 -bit Addition Using Digital Logic (3 of 3) Sum = x y c in + x yc in + xy c in + xyc in C out = x yc in + xy c in + xyc in + xyc in Cin Y X X Y Co Full Adder Ci Sum Sum Cout Shorthand notation 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 43

44 Multi-bit addition Propagate carries just like doing arithmetic by hand (In reality, more complex and faster schemes exist ) X3 Y3 X2 Y2 X Y X Y X Y X Y X Y X Y Co Full Adder Ci Co Full Adder Ci Co Full Adder Ci Co Full Adder Ci Sum Sum Sum Sum S3 S2 S S Popularly called ripple-carry adder 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 44

45 But how to store information? Everything so far just operates on inputs 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 45

46 Types of Logic Combinational Logic All outputs depend only on current inputs Makes various decisions, but forgets decision as soon as inputs change Type of circuits we ve been seeing so far Sequential Logic Outputs may depend on past inputs as well Remember/encode past inputs/decisions as state Use current input and current state for next decision These systems store state information used to guide the decision-making process 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 46

47 Example: TV tuning Old-style TV used knobs to set channel, volume Akin to combinational logic current inputs guide behavior Modern TVs use channel/volume +/- keys on remote control Sequential logic use inputs along with stored state (current channel or volume) to decide behavior 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 47

48 Forming storage from gates (to remember state) Gates forget if old inputs go away, so keep reminding them Do this through a feedback loop Feed current outputs back into inputs Remembers old output even if input is gone S Q Q = Q + S R Q Q = Q + R Called the S/R latch (set/reset) bar above name indicates active low signal 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 48

49 S Understanding the S/R latch Q Q = Q + S R Q Q = Q + R If only Sbar input low, then Q goes to, then Qbar goes to. S input is used for setting the latch to If only Rbar input low, then Qbar goes to, then Q goes to. R input is used for resetting or clearing the latch to 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 49

50 S Understanding the S/R latch Q Q = Q + S R Q Q = Q + R If only Sbar input low, then Q goes to, then Qbar goes to. If only Rbar input low, then Qbar goes to, then Q goes to. If both inputs high, outputs held (Q/Qbar are opposites) Remembers past state after input is gone! Stores bit If both inputs low, both outputs go high, but Q/Qbar no longer opposite -- unstable. Once outputs go high, bad things happen! You should ensure both inputs are never low 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 5

51 D (Data) latch S/R latches or by using S or R input How to store a bit without knowing it is or? Answer: D latch Inputs are D (data) and WE (write enable) When WE is high, output goes to D (Sbar=D, Rbar=D) When WE is low, output is held Only one output: Q (Qbar is internal, not visible outside) D S Q D Q WE WE R Shorthand notation 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 5

52 Storing multiple bits: the register A register is a multiple-bit storage element Consists of a D latch per bit, with all WE inputs tied together Whole register is written as a single unit WE D3 D2 D D D WE D WE D WE D WE Q Q Q Q Q3 Q2 Q Q 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 52

53 Memory: Higher-level storage elements A memory is a set of storage that can be addressed Each memory location is k bits wide The address is an n bit number, for 2 n possible locations The total storage of (k? 2 n ) bits Operations: read a location, write a location Memory conceptually consists of: D latches (k? 2 n ) total Address decoder determine location being referenced Creates a word select output Write enable (AND with decoder output) and k input bits Logic for k output bits from proper location 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 53

54 Layout of 2 2? 3 memory Inputs Address bits A, A A<:> in figure Data inputs D i <2:> WE Outputs Data outputs D<2:> 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 54

55 Layout of 2 2? 3 memory Reading: WE off Output stored data at given address Writing: WE on Store input data at given address 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 55

56 Realities of memory (some details FYI only) Not really implemented the way we ve shown Each bit uses fewer transistors Less control logic for choosing outputs Data lines shared by inputs and outputs Includes same basic concepts, though Often use multiple chips to implement memory 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 56

57 Types of Memory Static Random Access Memory (SRAM) Fast and expensive Dynamic Random Access Memory (DRAM) Much denser (more storage), slower to access Electrically complex and forgets after a while Data must be refreshed periodically or else it gets lost Lots of other types: read-only memory (ROM), electricallyerasable programmable read-only memory (EEPROM), nonvolatile random-access memory (NVRAM), FLASH (write once, read many, erase in chunks), etc. Read pages 97-3 (chapter 4) 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 57

58 Introducing the state machine Doing useful things with state 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 58

59 Finite State Machines A circuit that goes from one state to another (which next state depends on inputs) and outputs something at every state (outputs depend on inputs) Example: Traffic light: Green state: light up green light;wait for some time; go to Yellow state: light up yellow light; wait for some time; go to Red state: light up red light, wait for some time; go to Green 3 states, at each state light up a specific light And the states go to a specific next state 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 59

60 Finite-State Machines (FSMs) input Combinational Logic Circuit output Storage Element Output is a function of the input and the current state The function is computed by the combinational logic circuit How would you design this circuit? The state is stored in the storage element The next state is also function of the input and the current state 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 6

61 Finite-State Machines (FSMs) Output is a function of the input and the current state The function is computed by the combinational logic circuit How would you go about designing this circuit? The state is stored in the storage element How would you build this element? The next state is also function of the input and the current state The function is computed by the combinational logic circuit How would you go about designing this circuit? In 495K we will not go into FSM design details that is in ECE27. But we will connect FSMs to computer design in the next chapter. Computers are a bunch of FSMs! 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 6

62 Notion of a clock How does state machine know when to change to next state? All state machines have a clock input Alternates between high and low at a fixed frequency (Hz) Typically controlled by some crystal (oscillator) Next state change occurs at clock edge (rising edge or falling edge) clock period (?) Frequency f=/? 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 62

63 Notion of a clock Some state machines need to change only slowly, so have clocks below Hz Pentium IV has a 2.8 Gigahertz clock (giga = 9 )! Stop FSM by stopping clock AND clock with control input (usually ) clock period (?) Frequency f=/? 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 63

64 Where are we going from here? Ch 4 hardware software H/w s/w interface Problems Algorithms Prog. Lang & Interfaces Instruction Set Architecture Microarchitecture (Organization) Circuits Devices (Transistors) Bits 29 Vijaykumar ECE495K Lecture Notes: Chapter 3 64

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2000): 48 million IBM PowerPC 750FX (2002): 38 million IBM/Apple

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay)   CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 6 (31 Jan 2008) 1 Announcement 2 1 Reminder A logic circuit is composed of: Inputs Outputs Functional specification

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 2018 CMOS Logic Lecture 4: 1 NAND Logic Gate X Y (X Y) = NAND Using De Morgan s Law: (X Y) = X +Y X X X +Y = Y Y Also a NAND We can build circuits

More information

Topic Notes: Digital Logic

Topic Notes: Digital Logic Computer Science 220 Assembly Language & Comp. Architecture Siena College Fall 20 Topic Notes: Digital Logic Our goal for the next couple of weeks is to gain a reasonably complete understanding of how

More information

Lecture 4 - Digital Representations III + Transistors

Lecture 4 - Digital Representations III + Transistors Lecture 4 - Digital Representations III + Transistors Video: Seems like a natural extension from images no? We just have a new dimension (time) Each frame is just an image made up of pixels Display n frames

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory Lecture #2 by Dr. Choon Kim CSE Department, UCSD chk034@eng.ucsd.edu Lecture #2 1 Digital Technologies CPU(Central Processing Unit) GPU(Graphics Processing

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

Lecture 0: Introduction

Lecture 0: Introduction Introduction to CMOS VLSI Design Lecture : Introduction David Harris Steven Levitan Harvey Mudd College University of Pittsburgh Spring 24 Fall 28 Administrivia Professor Steven Levitan TA: Bo Zhao Syllabus

More information

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions Combinational logic! Switches, basic logic and truth tables, logic functions! Algebraic expressions to gates! Mapping to different gates! Discrete logic gate components (used in labs and 2)! Canonical

More information

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 6: CMOS Digital Logic 1 Last Lectures The CMOS Inverter CMOS Capacitance Driving a Load 2 This Lecture Now that we know all

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

Chapter 1: Digital logic

Chapter 1: Digital logic Chapter 1: Digital logic I. Overview In PHYS 252, you learned the essentials of circuit analysis, including the concepts of impedance, amplification, feedback and frequency analysis. Most of the circuits

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

In this lecture: Lecture 8: ROM & Programmable Logic Devices

In this lecture: Lecture 8: ROM & Programmable Logic Devices In this lecture: Lecture 8: ROM Programmable Logic Devices Dr Pete Sedcole Department of EE Engineering Imperial College London http://caseeicacuk/~nps/ (Floyd, 3 5, 3) (Tocci 2, 24, 25, 27, 28, 3 34)

More information

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook)

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook) ECE 410: VLSI Design Course Lecture Notes (Uyemura tetbook) Professor Fathi Salem Michigan State University We will be updating the notes this Semester. Lecture Notes Page 2.1 Electronics Revolution Age

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

Copyright The McGraw-Hill Companies, Inc. Permission required for reproduction or display. Computing Layers

Copyright The McGraw-Hill Companies, Inc. Permission required for reproduction or display. Computing Layers Chapter 3 Digital Logic Structures Original slides from Gregory Byrd, North Carolina State University Modified by Chris Wilcox, Sanjay Rajopadhye Colorado State University Computing Layers Problems Algorithms

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

ECE380 Digital Logic. Logic values as voltage levels

ECE380 Digital Logic. Logic values as voltage levels ECE380 Digital Logic Implementation Technology: NMOS and PMOS Transistors, CMOS logic gates Dr. D. J. Jackson Lecture 13-1 Logic values as voltage levels V ss is the minimum voltage that can exist in the

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

05/11/2006. Lecture What does a computer do? Logic Manipulation. Data manipulation

05/11/2006. Lecture What does a computer do? Logic Manipulation. Data manipulation 5//26 What does a computer do? Logic Manipulation Transistors Digital Logic Computers Computers store and manipulate information Information is represented digitally, as voltages Digital format avoids

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 VLSI COMP375 Computer Architecture Middleware other CS classes Machine Language Microcode Logic circuits Transistors Middleware Machine Language - earlier Microcode Logic circuits Transistors Middleware

More information

Circuits in CMOS VLSI. Darshana Sankhe

Circuits in CMOS VLSI. Darshana Sankhe Circuits in CMOS VLSI Darshana Sankhe Static CMOS Advantages: Static (robust) operation, low power, scalable with technology. Disadvantages: Large size: An N input gate requires 2N transistors. Large capacitance:

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

Exam #2 EE 209: Fall 2017

Exam #2 EE 209: Fall 2017 29 November 2017 Exam #2 EE 209: Fall 2017 Name: USCid: Session: Time: MW 10:30 11:50 / TH 11:00 12:20 (circle one) 1 hour 50 minutes Possible Score 1. 27 2. 28 3. 17 4. 16 5. 22 TOTAL 110 PERFECT 100

More information

Spiral 1 / Unit 8. Transistor Implementations CMOS Logic Gates

Spiral 1 / Unit 8. Transistor Implementations CMOS Logic Gates 18.1 Spiral 1 / Unit 8 Transistor Implementations CMOS Logic Gates 18.2 Spiral Content Mapping Spiral Theory Combinational Design Sequential Design System Level Design Implementation and Tools Project

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

The book has excellent descrip/ons of this topic. Please read the book before watching this lecture. The reading assignment is on the website.

The book has excellent descrip/ons of this topic. Please read the book before watching this lecture. The reading assignment is on the website. 5//22 Digital Logic Design Introduc/on to Computer Architecture David Black- Schaffer Contents 2 Combina3onal logic Gates Logic Truth tables Truth tables Gates (Karnaugh maps) Common components: Mul/plexors,

More information

QUIZ. What do these bits represent?

QUIZ. What do these bits represent? QUIZ What do these bits represent? 1001 0110 1 QUIZ What do these bits represent? Unsigned integer: 1101 1110 Signed integer (2 s complement): Fraction: IBM 437 character: Latin-1 character: Huffman-compressed

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

Transistors, Gates and Busses 3/21/01 Lecture #

Transistors, Gates and Busses 3/21/01 Lecture # Transistors, Gates and Busses 3/2/ Lecture #8 6.7 The goal for today is to understand a bit about how a computer actually works: how it stores, adds, and communicates internally! How transistors make gates!

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information

ECE 172 Digital Systems. Chapter 2 Digital Hardware. Herbert G. Mayer, PSU Status 6/30/2018

ECE 172 Digital Systems. Chapter 2 Digital Hardware. Herbert G. Mayer, PSU Status 6/30/2018 ECE 172 Digital Systems Chapter 2 Digital Hardware Herbert G. Mayer, PSU Status 6/30/2018 1 Syllabus l Term Sharing l Standard Forms l Hazards l Decoders l PLA vs. PAL l PROM l Bibliography 2 Product Term

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

0 A. Review. Lecture #16. Pipeline big-delay CL for faster clock Finite State Machines extremely useful You!ll see them again in 150, 152 & 164

0 A. Review. Lecture #16. Pipeline big-delay CL for faster clock Finite State Machines extremely useful You!ll see them again in 150, 152 & 164 CS61C L15 Representations of Combinatorial Logic Circuits (1) inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #16 Representations of Combinatorial Logic Circuits CPS today! 2005-10-26

More information

Shorthand Notation for NMOS and PMOS Transistors

Shorthand Notation for NMOS and PMOS Transistors Shorthand Notation for NMOS and PMOS Transistors Terminal Voltages Mode of operation depends on V g, V d, V s V gs = V g V s V gd = V g V d V ds = V d V s = V gs - V gd Source and drain are symmetric diffusion

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers Friday s class will be a lecture rather

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 Layers VLSI COMP370 Intro to Computer Architecture t Applications Middleware other CS classes High level languages Machine Language Microcode Logic circuits Gates Transistors Silicon structures Layers

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Logic Design (Part 1) Transistors & Gates (Chapter 3)

Logic Design (Part 1) Transistors & Gates (Chapter 3) Agenda next 3 weeks: Inside a microprocessor Logic Design (Part 1) Transistors & Gates (Chapter 3) Based on slides McGrawHill Additional material 2004/2005/2006 Lewis/Martin Additional material 2008 Roth

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Objectives In this chapter, you will learn about The binary numbering system Boolean logic and gates Building computer circuits

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Lecture 5 Doru Todinca Textbook This chapter is based on the book [RothKinney]: Charles H. Roth, Larry L. Kinney, Fundamentals

More information

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph ENG2410 Digital Design CMOS Technology Fall 2017 S. reibi School of Engineering University of Guelph The Transistor Revolution First transistor Bell Labs, 1948 Bipolar logic 1960 s Intel 4004 processor

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

EECS 270 Winter 2017, Lecture 15 Page 1 of 8

EECS 270 Winter 2017, Lecture 15 Page 1 of 8 EECS 270 Winter 2017, Lecture 15 Page 1 of 8 Mealy machines (6.3) A Mealy machine is one where the outputs depend directly on the inputs. That has significantly more implications than you d think. First

More information

Gates and and Circuits

Gates and and Circuits Chapter 4 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

UNIT III. Designing Combinatorial Circuits. Adders

UNIT III. Designing Combinatorial Circuits. Adders UNIT III Designing Combinatorial Circuits The design of a combinational circuit starts from the verbal outline of the problem and ends with a logic circuit diagram or a set of Boolean functions from which

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Combinational Logic Design CH002

Combinational Logic Design CH002 Combinational Logic Design CH002 Figure 2.1 Circuit as a black box with inputs, outputs, and specifications Figure 2.2 Elements and nodes Figure 2.3 Combinational logic circuit Figure 2.4 Two OR implementations

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

ELEC 2210 EXPERIMENT 12 NMOS Logic

ELEC 2210 EXPERIMENT 12 NMOS Logic ELEC 2210 EXPERIMENT 12 NMOS Logic Objectives: The experiments in this laboratory exercise will provide an introduction to NMOS logic. You will use the Bit Bucket breadboarding system to build and test

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

CS/ECE 252: INTRODUCTION TO COMPUTER ENGINEERING UNIVERSITY OF WISCONSIN MADISON

CS/ECE 252: INTRODUCTION TO COMPUTER ENGINEERING UNIVERSITY OF WISCONSIN MADISON CS/ECE 252: INTRODUCTION TO COMPUTER ENGINEERING UNIVERSITY OF WISCONSIN MADISON Instructor: Andy Phelps TAs: Newsha Ardalani, Peter Ohmann, and Jai Menon Midterm Examination 2 In Class (50 minutes) Wednesday,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information