Design for Test of Digital Systems TDDC33

Size: px
Start display at page:

Download "Design for Test of Digital Systems TDDC33"

Transcription

1 ourse Outline Design for Test of Digital Systems TDD33 rik Larsson Department of omputer Science! Introduction; Manufacturing, afer sort, Final test, oard and System Test, Defects, and Faults! Test generation; combinational and sequential test generation! Design-for-Test techniques; test point insertion, scan, enhanced scan! Test data compression, uilt-in Self-Test; Logic IST and memory IST! System hip Test; test architectures, test planning, test scheduling, and power constraints! System Test and oundary Scan 2 System-on-hip Die System-on-hip! Processor ores! AM, MIPS, PowerP! Memories! SAM, OM, Flash, DAM UDL SAM! Viper 2.0 ev! Analog/Digital TV Processor! 10mm x 10 mm (100 mm 2 )!! ~10 M gates! ~50 M transistors! ~100 clock domains! DSP ores! Peripherals! DMA ontrollers, MMU! Interface! PI, US, UAT! Multimedia! JPG compression, MPG decoder! Networking DSP PI DSP DAM OM! thernet controller

2 5 Productivity Gap Modular Test Design Logic Transistors per hip (K) M 10M 1M 100K 10K 1K %/Yr. compound omplexity growth rate x x 1987 x 1989 x x x 1991 Transistor/Staff Month 21%/Yr. compound Productivity growth rate 1993 x M 10M 1M 100K 10K 1K Productivity Trans./Staff - Month! Test Quality! Different parts (logic, memory, analog, F) need different test methods! lack-boxed mbedded ore! Implementation is not known, forced to use tests developed by provider! Divide-and-onquer! Very large SOs are intractable for ATPG/FSim tools! Modular test approach allows concurrent development/engineering! Test euse! Module will be reused in other designs 5 6 hallenges Scan Test Application! Distributed Design and Test Development! Standardized set of deliverables! Test Access to mbedded Modules! Standardized on-chip test access hardware! Tools for test translation! hip-level Test Optimization! Tools to evaluate trade-offs; minimal impact on design (extra silicon, delay) at minimizing test application time and AT memory requirement Scan chain 1 (20 FFs) Scan chain 0 (20 FFs) ore1 Scan chain 1 (10 FFs) Scan chain 0 (10 FFs) Test vectors: 10 Test vectors: 20 Scan in + capture + scan out/scan in + capture + scan out capture + scan out -> (sc+1)*p+sc ore2 Non-modular alternative: Test time= ( )*20+(10+20)=650 Modular alternative: ore1: sc=20, p=10 -> (20+1)*10+20=230 ore2: sc=10, p=20 -> (10+1)*20+10=230 Total = Time(ore1)+Time(ore2)=460 (30% cut) 7 8

3 9 Non-modular Alternative Modular Alternative ore 1 ore 2 Scan chain 1 (20 FFs) Scan chain 1 (10 FFs) Scan chain 1 (20 FFs) Scan chain 1 (10 FFs) Scan chain 0 (20 FFs) Scan chain 0 (10 FFs) Scan chain 0 (20 FFs) Scan chain 0 (10 FFs) Test vectors: 10 Test vectors: 20 Test vectors: 10 Test vectors: 20 Non-modular alternative: Test time= ( )*20+(20+10)=650 ore 1: Test time= (20+1)*10+(20)=230 apture Max(10,20) apture ore 2: Test time= (10+1)*20+(20)=230 Total test time: Generic Test Access Architecture Test Planning source TAM SAM UDL DSP DAM MUT TAM wrapper PI OM! Test pattern Source and Sink! Store/generate test stimuli and store/evaluate test responses! Test Access Mechanism (TAM)! Transports test patterns to/from module under test (MUT)! Test rapper! Provides test access to MUT sink! Objectives: Optimizing test access to cores and scheduling test hardware Test software planning Test hardware planning ore import ore integration Test wrapper & TAM design ore test import Top-level ATPG Glue logic, soft cores Test wrappers Test scheduling! Isolates MUT at test 11 Test assembly 12

4 13 I 1500 ore Test Standard I 1500 rapper! Goals! Define test interface between core and SO! ore isolation! Plug-and-play protocols! Scope! Standardize core isolation protocols and test modes Test stimuli Functional data ore Test responses Functional data! TAM design! Type of test to be applied! Test scheduling Test control+ test stimuli wrapper Y I Test control+ test responses IP 14 Test rapper rapper oundary ell shift wci! Test wrapper! Interface between module and the rest of the chip! makes it possible access core and isolate core from rest of the system. Input cell From chip From SI/PI FF To core To SO/PO! Test modes! Normal: Functional mode, InTest: test of module itself, xtest: test of interconnection to other core! I 1500 Standard for mbedded ore Test From core Output cell From SI/PI lk shift wci To chip FF To SO/PO lk 15 16

5 17 Test rapper Test rapper! Test wrapper! Interface between module and the rest of the chip! makes it possible access core and isolate core from rest of the system.! Test modes PI P ore PP (optional) PO SP: rapper Serial Port SI: rapper Serial Input S: rapper Serial ontrol SO: rapper Serial Output PP: rapper Parallel Port PI: rapper Parallel Input P: rapper Parallel ontrol PO: rapper Parallel Output! Normal: Functional mode, InTest: test of module itself, xtest: test of interconnection to other core SI wrapper SO! I 1500 Standard for mbedded ore Test S SP (mandatory) 18 Test rapper Test rapper: Functional Operation FI TI TO SI FI (User-defined PP = PI+PO+P) wrapper FI FI ore FI FI Test enable Y I S: K, ST, Select, Shift, apture, Update FI SO TI: ell Test Input TO: ell Test Output FI: ell Functional Input : ell Functional Output FI: Functional Input : Functional Output FI: rapper Functional Input : rapper Functional Output : rapper oundary ell : rapper oundary egister Y: rapper ypass egister I: rapper Instruction egister SP: rapper Serial Port SI: rapper Serial Input S: rapper Serial ontrol SO: rapper Serial Output PP: rapper Parallel Port PI: rapper Parallel Input P: rapper Parallel ontrol PO: rapper Parallel Output Test wrapper is in functional mode; hence the test wrapper is transparent (invisible) SI FI wrapper FI ore FI Test enable Y I S FI SO 19 20

6 21 Test rapper: S_ypass Test rapper: S_XTST Test data (test stimuli and test responses) are bypassed. Test data (test stimuli and test responses) are bypassed. Normal mode Normal mode ore FI FI Test enable Y Normal mode Normal mode UDL UDL ore FI FI Test enable UDL UDL SI wrapper I S SO SI wrapper Y I SO S 22 Test rapper: S_INTST Multiplexed TAM rapper cells are programmed to perform internal test, testing of the core itself. P PI TAM PO ore FI FI Test enable PP orea PP ore PP ore SI wrapper Y I S SO SI System hip SP SP SP SO 23 24

7 25 Direct Access TAM Dasiy-hained TAM PI P PO PO P PI TAM PO P PI P PI TAM PO PP PP PP PP PP PP orea ore ore orea ore ore SI SP SP SP SO SI SP SP SP SO System hip System hip 26 Architecture Design Architecture Design Multiplex TAM AT channels orea ore ore Multiplex TAM + Direct Access TAM ore orea ore Test bus 1 Test bus 2 Test time Direct Access TAM orea ore ore Flexible Architecture ore ore orea Daisy-hained TAM orea+ore+ore

8 29 Problem Architecture Design! For a given So:! form wrapper chains out of the scan-chains and the wrapper cells at every core! connect the wrapper chains to TAMs, and! assign a time for testing each core,! such that the total test time is minimized. Mem 1 Mem 2 So A D TAM 1 TAM 1 TAM 2 TAM 2 TAM 3 TAM 3 31 rapper Design rapper Design Scan chain 0 Scan chain 1 Scan chain 0 (100 FFs) Scan chain 1 (100 FFs) SI[0:3] SO[0:3] Scan chain 2 (100 FFs) Scan chain 3 (100 FFs) ore1 S Test time (T) = (sc+1)*p+sc Scan chain 2 Scan chain 3 T=(200+1)*10+200=2210 Scan chain 0 Scan chain 2 Scan chain 1 Scan chain 3 p=10 T=(200+1)*10+200=2210 Scan chain 0 Scan chain 1 Scan chain 2 Scan chain 3 Longest wrapper scan chain" TAM width" 1. Minimize length of longest wrapper scan in/out chain 2. Minimize number of wrapper scan chains T=(400+1)*10+400=

9 34 Test rapper Optimization Priority 1: alanced rapper Scan hains educing TAM idth Priority 2: Minimize wrapper scan chains created ore" ore" Scan chain 32 FF 4 FF! 8 FF! 4 FF! 8 FF! I I I I 8 FF 8 FF 8 FF O O 4 rapper scan chains rapper" rapper" Unbalanced alanced Minimize length of longest wrapper scan in/out chain Scan chain 32 FF 2 rapper scan chains I I I I 8 FF 8 FF 8 FF O O 35 ore To TAM Assignment Test us Architecture Mem 1 Mem 2 A D Architecture A Schedule: Serial So D TAM 1 Mem 1 A TAM 1 F TAM 2 Mem 2 TAM 2 TAM 3 D TAM 3 " ombination of multiplexing and distribution " Supports only serial schedule " ore-external testing is cumbersome or impossible 36 37

10 38 Test Scheduling Test Scheduling AT Mem1 Logic2 Mem 1 Mem 2 So A D AT Mem1 Logic2 Mem 1 Mem 2 So A D 39 Test Scheduling Problem! For a given So: AT Mem 1 Mem 2 A D! form wrapper chains out of the scan-chains and the wrapper cells at every core! connect the wrapper chains to TAMs, and! assign a time for testing each core,! such that the total test time is minimized. So Total time and/or expected test time (abort-on-fail) 40 41

11 Test Scheduling Test Scheduling AT Mem1 Logic2 Mem 1 Mem 2 So A D AT Mem1 Logic2 Mem 1 Mem 2 So A D Test Scheduling Test Scheduling AT (produced response) AT Mem 1 Mem 2 So A D Mem1 Logic2 AT (expected response) Mem1 Logic2 Fault at module Mem1 Logic2

12 Abort-on-fail testing Abort-on-fail testing AT (produced response) AT (stimuli) Mem1 Logic2 Over a large set of Is, minimize overall test time Me m1 Me m2 So A D Logic 2 P U AT (produced response) Mem1 AT (expected response) Mem1 Logic2 Mem1 AT (expected response) Mem1 Logic2 Fault at module Mem1 Abort-on-fail testing Time to determine a possible fault in module ithout Abort-on-Fail ith Abort-on-Fail AT (produced response) Mem1 Logic2 Test time AT (produced response) Mem1 Test time Abort-on-fail testing Idea! Spend less time on faulty circuits! If the test fails, it is aborted early! Low-yielding and short tests should be performed early Problem! Find a test schedule that minimizes the expected test time. Assumptions! Abort-on-fail: when a fault occur, testing terminates.! Defect probability for each testable unit is given.! Sequential testing and concurrent testing.! rik Larsson, Julien Pouget, and Zebo Peng, Abort-on-Fail ased Test Scheduling, Journal of lectronic Testing; Theory and Applications (JTTA), Vol. 21, Nr 6, Dec. 2005, pages ! Urban Ingelsson, Sandeep Goel, rik Larsson, and rik Jan Marinissen, Test Scheduling for Modular SOs in an Abort-on-Fail nvironment, uropean Test Symposium (TS'05), 2005, pages 8-13

13 xample ore2 ore3 ore4 ore1 So ore Test time Sequential testing! ithout abort-on-fail: 15 ore Test time Pass probability " Test time: 15. ore4 ore3 ore2 ore1 ore1 ore2 ore3 ore4! 1 =2! 2 =4! 3 =3! 4 =6 Time! xpected test time: 13.6 Time ore4 ore2 ore3 ore1 ore1 ore2 ore3 ore4! 4 =6! 2 =4! 3 =3! 1 =2 Time Time! xpected test time: 9.5 Sequential testing Sequential testing p 1 =0.7 ore1 ore2 ore3 ore4! 1 =2 Time p 1 =0.7 p 2 =0.8 ore1 ore2 ore3 ore4 fault! 1 +! 2 Time abort testing At time point! 1 :! Probability to pass, p 1 =0.7.! eighted probability to pass,! 1 xp 1 : 2x0.7=1.4 At time point! 1 +! 2 : " Probability to pass -> pass test at core 1 and test at core 2. " Probability to fail -> pass test at core 1 and fail test at core 2.! Probability to fail (1-p 1 )=0.3.! eighted probability to fail,! 1 x(1-p 1 ): 2x0.3= 0.6

14 Sequential testing oncurrent testing p 1 =0.7 p 2 =0.8 p 3 = 0.9 p 4 = 0.95 TAM ore1 ore2 ore3 ore4 3 ore1 Time 2 1 ore2 ore3 ore4 Time At! 1 :! 1 x(1-p 1 )=0.6! 11 At! 1 +! 2 : (! 1 +! 2 )xp 1 (1-p 2 )=0.84 At! 1 +! 2 +! 3 : (! 1 +! 2 +! 3 )xp 1 xp 2 (1-p 3 )=0.50 At! 1 +! 2 +! 3 +! 4 : (! 1 +! 2 +! 3 +! 4 )xp 1 xp 2 xp 3 (1-p 4 )=0.38 (! 1 +! 2 +! 3 +! 4 )xp 1 xp 2 xp 3 xp 4 =7.2 Total expected time : =9.5 Probability to pass at time point! 11 (=2):! ore 1: p 1 =0.7 p 11! ore 2: p 2k, k=! 11 /! 2 = 0.82/4 p 21! ore 3: p 3k, k=! 11 /! 3 = 0.92/3 p 31 Probability to fail at time point! 11 (=2):! 11 x((1-p 11 )xp 21 xp 31 ) onstraints to consider I Test! Power consumption! An SO is designed according to functional power consumption! In testing, switch as many sites as possible in order to test as much as possible in a short time! Power consumed during testing is! Higher and different from functional -mode power AT SO! urn an I or getting wrong results! So Test Planning including Test Data ompression TST STIMULUS PODUD SPONS 56

15 ffect of Test Power onsumption Power-Aware Test Approaches! Peak power consumption! Average power consumption Onsen! Design SO to handle test power consumption! Design SO with test power reducing techniques! SO test planning to handle test power consumption! Test planning is a low-cost alternative to:! xplore ordering of tests to lower the test application time! Guide the search for bottlenecks where! design for low-power techniques are to be included or! (over) design for test power is needed Test Data ompression Test Data ompression for ore-based SOs I/ASI SO Decoder m sc 1 sc 2 sc 3. sc m ompactor! TAM wires are expanded two m scan chains (m>>)! educes test time and test data volume Decoder m O1 O3 O2 ompactor O4! Major drawback! High number of TAM wires (m) are routed to all cores 60 61

16 62 Test Data ompression for ore-based SOs SO! TAM wires () are partitioned into test buses! ores are connected to test buses! Few TAM wires () are routed to the cores! Decoder design at core-level m 1 w 1 m 2 O2 w 2 m 3 O1 O3 m 4 O4 Test-data volume (Mbits) Analysis of ompression Techniques Vector epeat Selective ncoding Selective ncoding & Vector epeat Selective ncoding & Vector epeat No. of TAM wires (w) Test time (clock cycles) 4.5 x Vector epeat Selective ncoding Selective ncoding & Vector epeat Vector epeat No. of TAM wires (w) 63 Analysis of ompression Techniques! At core-level define! number of TAM wires (w),! number of wrapper-chains (mi),! compression technique, and! decoder! such that the core s test time and test-data! At SO-level define! number of test buses,! width (wj) of test buses,! core s assignment to test buses, and! compression technique, and! decoder! such that the SO s test time and test-data volume are minimized. 64

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Update of IEEE P1500 Core Wrapper Architecture

Update of IEEE P1500 Core Wrapper Architecture Update of IEEE P1500 rapper Architecture Presented by Lee hetsel on behalf of CTAG orking Group International Conference October 7, 2002 Topics - rapper Overview & Applications - rapper Architecture &

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

An Integrated Framework for Concurrent Test and Wireless Control in Complex SoCs

An Integrated Framework for Concurrent Test and Wireless Control in Complex SoCs An Integrated Framework for Concurrent est and Wireless Control in Complex SoCs by Dan Zhao December 2003 A dissertation submitted to the Faculty of the Graduate School of State University of New York

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

7. Introduction to mixed-signal testing using the IEEE P standard

7. Introduction to mixed-signal testing using the IEEE P standard 7. Introduction to mixed-signal testing using the IEEE P1149.4 standard It was already mentioned in previous chapters that the IEEE 1149.1 standard (BST) was developed with the specific purpose of addressing

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

Design Automation for IEEE P1687

Design Automation for IEEE P1687 Design Automation for IEEE P1687 Farrokh Ghani Zadegan 1, Urban Ingelsson 1, Gunnar Carlsson 2 and Erik Larsson 1 1 Linköping University, 2 Ericsson AB, Linköping, Sweden Stockholm, Sweden ghanizadegan@ieee.org,

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

MICROFLUIDICS lab-on-chip technology has made

MICROFLUIDICS lab-on-chip technology has made 250 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 4, NO. 4, AUGUST 2010 Digital Microfluidic Logic Gates and Their Application to Built-in Self-Test of Lab-on-Chip Yang Zhao, Student Member,

More information

Introduction (concepts and definitions)

Introduction (concepts and definitions) Objectives: Introduction (digital system design concepts and definitions). Advantages and drawbacks of digital techniques compared with analog. Digital Abstraction. Synchronous and Asynchronous Systems.

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Flexible and Modular Approaches to Multi-Device Testing

Flexible and Modular Approaches to Multi-Device Testing Flexible and Modular Approaches to Multi-Device Testing by Robin Irwin Aeroflex Test Solutions Introduction Testing time is a significant factor in the overall production time for mobile terminal devices,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

End-to-End Test Strategy for Wireless Systems

End-to-End Test Strategy for Wireless Systems End-to-End Test Strategy for Wireless Systems Madhuri Jarwala, Duy Le, Michael S. Heutmaker AT&T Bell Laboratories Engineering Research Center Princeton, NJ 08542 Abstract This paper proposes an end-to-end

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Multi-Channel FIR Filters

Multi-Channel FIR Filters Chapter 7 Multi-Channel FIR Filters This chapter illustrates the use of the advanced Virtex -4 DSP features when implementing a widely used DSP function known as multi-channel FIR filtering. Multi-channel

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Fully-Integrated Digital Average Current-Mode Control Voltage Regulator Module IC. Mor M. Peretz

Fully-Integrated Digital Average Current-Mode Control Voltage Regulator Module IC. Mor M. Peretz Fully ntegrated Digital AM VRM [1] THE ENTER FOR POWER ELETRONS AND MXED-SGNAL, BEN-GURON UNVERSTY Fully-ntegrated Digital Average urrent-mode ontrol Voltage Regulator Module Mor M. Peretz The enter for

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

to Moore and McCluskey the following formula calculates this number:

to Moore and McCluskey the following formula calculates this number: An Introduction To Jtag/Boundary Scan Jtag/Boundary Scan is a test technology. It is the jump from physical access to a board s conductor tracks (necessary for the In-Circuit Test) with all its physical

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

PXI Modules 3066 PXI Multi-Way Active RF Combiner Data Sheet

PXI Modules 3066 PXI Multi-Way Active RF Combiner Data Sheet PXI Modules 3066 PXI Multi-Way Active RF Combiner Data Sheet The most important thing we build is trust 250 MHz to 6 GHz RF signal conditioning module for multi- UE, MIMO and Smartphone testing Four full

More information

Online Monitoring for Automotive Sub-systems Using

Online Monitoring for Automotive Sub-systems Using Online Monitoring for Automotive Sub-systems Using 1149.4 C. Jeffrey, A. Lechner & A. Richardson Centre for Microsystems Engineering, Lancaster University, Lancaster, LA1 4YR, UK 1 Abstract This paper

More information

Copyright 2000 N. AYDIN. All rights reserved. 1

Copyright 2000 N. AYDIN. All rights reserved. 1 Introduction to igital Prof Nizamettin IN naydin@yildizedutr naydin@ieeeorg ourse Outline igital omputers, Number Systems, rithmetic Operations, ecimal, lphanumeric, and Gray odes 2 inary, Gates, oolean

More information

Interconnect testing of FPGA

Interconnect testing of FPGA Center for RC eliable omputing Interconnect Testing of FPGA Stanford CRC March 12, 2001 Problem Statement Detecting all faults in FPGA interconnect resources Wire segments Programmable interconnect points

More information

(VE2: Verilog HDL) Software Development & Education Center

(VE2: Verilog HDL) Software Development & Education Center Software Development & Education Center (VE2: Verilog HDL) VLSI Designing & Integration Introduction VLSI: With the hardware market booming with the rise demand in chip driven products in consumer electronics,

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

Lecture 1: Digital Systems and VLSI

Lecture 1: Digital Systems and VLSI VLSI Design Lecture 1: Digital Systems and VLSI Shaahinhi Hessabi Department of Computer Engineering Sharif University of Technology Adapted with modifications from lecture notes prepared by the book author

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Scheduling and Optimization of Fault-Tolerant Embedded Systems

Scheduling and Optimization of Fault-Tolerant Embedded Systems Scheduling and Optimization of Fault-Tolerant Embedded Systems, Viacheslav Izosimov, Paul Pop *, Zebo Peng Department of Computer and Information Science (IDA) Linköping University http://www.ida.liu.se/~eslab/

More information

TMS320F241 DSP Boards for Power-electronics Applications

TMS320F241 DSP Boards for Power-electronics Applications TMS320F241 DSP Boards for Power-electronics Applications Kittiphan Techakittiroj, Narong Aphiratsakun, Wuttikorn Threevithayanon and Soemoe Nyun Faculty of Engineering, Assumption University Bangkok, Thailand

More information

FPGA Design Process Checklist

FPGA Design Process Checklist FPGA Design Process Checklist Martin Fraeman Pete Eisenreich JHU/APL Laurel, MD 9/6/04 MAPLD 2004 1 Checklist Motivation Develop a process to consistently design FPGAs for space applications Useful to

More information

Design for Reliability --

Design for Reliability -- Design for Reliability -- From Self-Test to Self-Recovery Tim Cheng Electrical and Computer Engineering University of California, Santa Barbara Increasing Failure Sources and Failure Rates design errors

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

A PIPELINE FFT PROCESSOR

A PIPELINE FFT PROCESSOR A PPELNE FFT PROCESSOR Weidong Li Electrical Engineering Dept. Linkoping University Lin koping SE-581 83 Sweden Lars Wanhammar Electrical Engineering Dept. Linkoping University Linkoping SE-581 83 Sweden

More information

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow Center for RC eliable omputing I and Diagnosis Stanford University ugust 16, 1999 Outline Introduction oolean Diagnosis ridging Fault Diagnosis Problems I Diagnosis Future Research Topics Summary 1 2 Introduction

More information

ML ML Bit A/D Converters With Serial Interface

ML ML Bit A/D Converters With Serial Interface Silicon-Gate CMOS SEMICONDUCTOR TECHNICAL DATA ML145040 ML145041 8-Bit A/D Converters With Serial Interface Legacy Device: Motorola MC145040, MC145041 The ML145040 and ML145041 are low-cost 8-bit A/D Converters

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

A High Definition Motion JPEG Encoder Based on Epuma Platform

A High Definition Motion JPEG Encoder Based on Epuma Platform Available online at www.sciencedirect.com Procedia Engineering 29 (2012) 2371 2375 2012 International Workshop on Information and Electronics Engineering (IWIEE) A High Definition Motion JPEG Encoder Based

More information

FPGA Co-Processing Solutions for High-Performance Signal Processing Applications. 101 Innovation Dr., MS: N. First Street, Suite 310

FPGA Co-Processing Solutions for High-Performance Signal Processing Applications. 101 Innovation Dr., MS: N. First Street, Suite 310 FPGA Co-Processing Solutions for High-Performance Signal Processing Applications Tapan A. Mehta Joel Rotem Strategic Marketing Manager Chief Application Engineer Altera Corporation MangoDSP 101 Innovation

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2000): 48 million IBM PowerPC 750FX (2002): 38 million IBM/Apple

More information

l Some materials from various sources! Soma 1! l Reduce test generation difficulty, especially

l Some materials from various sources! Soma 1! l Reduce test generation difficulty, especially cknowledgements! esign-for-test ethodologies! ani Soma! l Some materials from various sources! n r. hil igh, B! n rinciples of Testing Electronic Systems by S. ourad & Y. Zorian! n Essentials of Electronic

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

Nonlinear Equalization Processor IC for Wideband Receivers and

Nonlinear Equalization Processor IC for Wideband Receivers and Nonlinear Equalization Processor IC for Wideband Receivers and Sensors William S. Song, Joshua I. Kramer, James R. Mann, Karen M. Gettings, Gil M. Raz, Joel I. Goodman, Benjamin A. Miller, Matthew Herman,

More information

Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling

Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal and Prathima Agrawal Department of Electrical and Computer Engineering Auburn University

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder High Speed Vedic Multiplier Designs Using Novel Carry Select Adder 1 chintakrindi Saikumar & 2 sk.sahir 1 (M.Tech) VLSI, Dept. of ECE Priyadarshini Institute of Technology & Management 2 Associate Professor,

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

SOFTWARE IMPLEMENTATION OF THE

SOFTWARE IMPLEMENTATION OF THE SOFTWARE IMPLEMENTATION OF THE IEEE 802.11A/P PHYSICAL LAYER SDR`12 WInnComm Europe 27 29 June, 2012 Brussels, Belgium T. Cupaiuolo, D. Lo Iacono, M. Siti and M. Odoni Advanced System Technologies STMicroelectronics,

More information

EE382V-ICS: System-on-a-Chip (SoC) Design

EE382V-ICS: System-on-a-Chip (SoC) Design EE38V-CS: System-on-a-Chip (SoC) Design Hardware Synthesis and Architectures Source: D. Gajski, S. Abdi, A. Gerstlauer, G. Schirner, Embedded System Design: Modeling, Synthesis, Verification, Chapter 6:

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

A PID Controller for Real-Time DC Motor Speed Control using the C505C Microcontroller

A PID Controller for Real-Time DC Motor Speed Control using the C505C Microcontroller A PID Controller for Real-Time DC Motor Speed Control using the C505C Microcontroller Sukumar Kamalasadan Division of Engineering and Computer Technology University of West Florida, Pensacola, FL, 32513

More information

Reducing ATE Cost in System-on-Chip Test

Reducing ATE Cost in System-on-Chip Test Reducing ATE Cost in System-on-Chip Test Ilia Polian Bernd Becker Institute of Computer Science Albert-Ludigs-University Georges-Köhler-Allee 51 79110 Freiburg im Breisgau, Germany email: < polian, becker

More information

LOW POWER HIGH SPEED MODIFIED SQRT CSLA DESIGN USING D-LATCH & BK ADDER

LOW POWER HIGH SPEED MODIFIED SQRT CSLA DESIGN USING D-LATCH & BK ADDER LOW POWER HIGH SPEED MODIFIED SQRT DESIGN USING D-LATCH & BK ADDER Athira.V.S 1, Shankari. C 2, R. Arun Sekar 3 1 (PG Student, Department of ECE, SNS College of Technology, Coimbatore-35, India, athira.sudhakaran.39@gmail.com)

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design The Synchronous Design Paradigm A. Steininger Vienna University of Technology Outline The Need for a Design Style The ideal Method Requirements The Fundamental Problem Timed Communication

More information

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS JOURNAL OF MEDICAL INFORMATICS & TECHNOLOGIES Vol.4/2002, ISSN 1642-6037 Leszek DREWNIOK *, Janusz ZMUDZINSKI *, Jerzy GALECKA *, Adam GACEK * programmable circuits ECG acquisition with cardiostimulator

More information