Reducing ATE Cost in System-on-Chip Test

Size: px
Start display at page:

Download "Reducing ATE Cost in System-on-Chip Test"

Transcription

1 Reducing ATE Cost in System-on-Chip Test Ilia Polian Bernd Becker Institute of Computer Science Albert-Ludigs-University Georges-Köhler-Allee Freiburg im Breisgau, Germany < polian, becker Abstract Traditional SoC test scheduling approaches minimize test time under additional constraints. We argue that test costs are not determined by test time alone. Indeed, the speed of used ATE channels influences both cost and test time. We present a case for using a mixture of high-speed and lo-cost ATE channels. To heuristics and an exact algorithm are proposed. Experimental results sho that such a mixture scenario can reduce the cost ith no impact on test time. Keyords: System-on-Chip test, Automatic test equipment, test economics 1 Introduction A System-on-Chip (SoC) is an integrated circuit hich consists of multiple cores on one single die. This paradigm is gaining popularity since several designers (and even different companies) can contribute to the same IC independently, yielding benefits in time-to-market. A core replaces a stand-alone chip, and an SoC implements the functionality for hich previously a board ith multiple chips has been required. The miniaturization of IC structures and the ability to manufacture in different technologies on the same die make the SoC concept possible. This design approach has led to a shift in test generation and test application. Traditionally, test patterns have been generated for the hole IC. In contrast, patterns for each individual core of an SoC are available, and it is highly desirable to re-use them instead of generating a ne, global test. One reason for this is that the test generation for such a big circuit might just be too complex. Moreover, some of the cores may have been designed by a different company and thus the information needed for test generation may be lacking. Conclusively, a test for each core of an SoC is to some extent independent from the test for other cores. For instance, it is possible that the tests are generated for different fault models. For application of the tests, each core is equipped ith a test access mechanism (TAM) and a core test rapper [1]. There exists a number of test architectures based on different types of TAMs. Cores can be either tested in parallel (concurrently) or one after another (sequentially). Hoever, there are some scarce resources hich the cores must share during the testing. One such resource is the available poer. If the poer supply is not sufficient to provide all cores simultaneously ith energy needed for testing, then they cannot be tested concurrently. Another constraint is the number of available IC pins. This number has not experienced the same spectacular exponential groth as the density of IC structures. With the number of available IC pins being comparable to the number of I/O ports of each individual core, there is no ay to connect each port of each core ith a pin simultaneously. Thus, one IC pin must serve multiple ports by some mean of serial access (e. g. using a solution like boundary scan). Obviously, there is negative impact on test application time. Figuring out ho to test an SoC in minimal time ithout violating the constraints is knon as the SoC test scheduling problem. There is substantial research on solving this problem [2, 3, 4, 5, 6, 7]. It is important to note that hile the basic problem is the same, the exact specification differs among the papers. The discriminating features include the exact architecture used (the kind of access to the cores) and the considered constraints (pin number, peak or average poer, place-and-route). Almost every paper on test scheduling minimizes test application time. This implies that the test costs are considered proportional to the test time. One exception is [8], in hich maximal test time is a constraint and the number of required pins is minimized. The present ork suggests a more differentiated vie to the definition of the test costs. With test time surely being one component, another part of these costs is the kind of automatic test equipment (ATE) involved in testing. A high-speed ATE channel is knon to be tremendously more expensive than a usual ATE channel. On the other hand, there is no reason preventing the usage of high-speed channels for testing one core and lo-speed channels for testing another core. We study the effect of such a mixture. Interestingly, e find that often lo-speed channels can be used ithout any negative impact on test time. The remainder of this paper is organized as follos. In the next section, e present the algorithm used for assigning ATE channels. Experimental results are given in Section 4. Possible directions for the future ork are discussed in Section 5. Section 6 concludes the paper.

2 control Core 1 out Core Core 3 in in control 0 1 Core Core 2 Core 3 out in 1 1 Core Core Core = out Figure 1: Architectures for SoC test: Multiplexing, Daisychain, Distribution 2 Channel Assignment In [9], three basic scan architectures are proposed: Multiplexing, Daisychain and Distribution architecture (Figure 1). In the Multiplexing architecture, each core is connected to the full idth of the TAM and only one core can be tested at a time. Daisychain architecture features cores connected in a ro (the outputs of a core are connected to the inputs of the next core), ith the option that a core can be bypassed. Finally, in the Distribution architecture, the bit-idth of the TAM is divided among cores and one or more bits of the TAM are uniquely assigned to a core. The Test Bus architecture [10] is a combination of the Multiplexing and the Distribution architectures; the TestRail architecture [11] is a combination of the Daisychain and the Distribution architectures. It is not a target of this ork to discuss the superiority of one architecture over another. Indeed, e concentrate on the impact of the ATE channel speed on the test time. For the sake of simplicity, e consider the Distribution architecture only. We assume that each core has (if at all) only scan memory elements and that its primary I/O ports are connected to a boundary scan. Furthermore, e assume that primary I/Os and memory elements (secondary I/Os) can be mixed in the same chain, so e indeed treat primary and secondary I/Os in the same ay. Letacorei have d i primary inputs, o i primary outputs, f i flip-flops and V i scan test vectors. Then, there are d i +f i bits to be shifted in and o i +f i bits to be shifted out. Let the IC have P pins, and let to kinds of ATE channels be available: fast and slo channels. A fast channel can be used for shifting in and out at device s nominal frequency, hereas a slo channel is assumed to allo shifting operation ith 1/lth of the frequency 1. 1 Thus, l = 2 means that slo channels are half as fast as fast channels. Note that in a realistic setting the scan frequency may be considerably belo the device s nominal frequency. In this case, and for, e ould have to replace nominal frequency and half nominal frequency by, maybe, 1/4th and 1/8th of the nominal frequency, respectively. Since shifting a test vector out can be done simultaneously ith shifting the next vector in (except the very last vector), the overall test time consumed hen one scan chain is available and fast pins are employed is t f i (1) = SI i (V i + 1), ith SI i = f i + max{d i,o i } being the shift time. If k chains (and thus k pins and channels) can be used, the test application time becomes t f i (k) = SI i/k (V i + 1). The test application time using slo channels is t s i (k) =l SI i/k (V i +1). In order to assign pins to cores, e use the algorithm allocate pins [9]. Procedure allocate pins Input: Number n of cores Input: Number P of available pins; P n Input: For each core i: number d i of inputs. Output: k 1,...,k n (1) for all i, 1 i n, setk i := 1; (2) for all i, 1 i n, compute t s i (1); (3) P := P n; (4) hile (P >0) (5) Choose core i ith maximal test time t max = t s i (k i ); (6) k i := k i +1; (7) Recompute t s i (k i ) (8) P := P 1; (9) end hile (10) return k 1,...,k n ; At first (Steps (1) (3)), each core gets one allocated pin. The rest of the pins is distributed in order to loer the test time requirements of the most critical core i. Note that the core i leading to the maximal test time (hich is chosen in Step (5)) does not have to be unique, since there may be multiple cores having the same test time. The procedure finishes (the hile loop in Step (4) is not re-entered), if no pins are left to allocate. If the priority queue is implemented using a heap, the run time of the algorithm ill be O(P log P ). No e assume that each core is connected to slo channels. Let F fast channels be available for testing. Given F, e connect as many cores as possible to fast channels instead of slo channels and evaluate the

3 saving in the test time. We do not allo a mixture of fast and slo channels for one core. The procedure assign fast channels is designed for this purpose: Procedure assign fast channels Input: Number n of cores Input: Number P of available pins; P n Input: Number F of avail. fast channels; 0 F P Input: For each core i: all k i pins assigned to it. Output: For each pin p, 1 p P, either channel(p) = Fast or channel(p) = Slo (1) for all p, 1 p P, set channel(p) := Slo ; (2) for all i, 1 i n, compute t s i (1); (3) hile (true) (4) Choose core i ith maximal test time t max = t s i (k i ); (5) If (fast channels already assigned to core i s pins) (6) break; (7) If (F <k i ) (8) break; (9) for each pin p assigned to the core i (10) channel(p) := Fast ; (11) Recompute test time as t f i (k i ) (12) F := F k i ; (13) end hile (14) return channel(1),..., channel(n); In order to minimize the test time, the core i ith maximal test time is selected and it is checked hether there are enough fast ATE channels to connect ith its k i pins (Step (7)). If no, the calculation is terminated; if yes, k i is subtracted from F, and the procedure is re-iterated. Note that if F<k i but there is some other core j ith F k j,itdoes not make sense to use the available fast pins for the core j because the overall test time is still determined by the core i. Note also that if fast channels are already assigned to the bottleneck core i, the process is terminated as assigning fast channels to other cores ould not loer the overall test time still determined by i (Step (5)). 3 Optimality Of the Algorithm The algorithm allocate pins is proven to be optimal if the ATE channels do not differ (for a detailed proof, see [12]). Furthermore, it is trivial that, if the channel assignment is fixed, it is optimal to distribute fast channels in the greedy fashion, as done in Procedure allocate fast channels (allocating fast channels to other cores ould not loer the overall test time). Hoever, although both parts of the algorithm are optimal for themselves, running Procedure allocate pins and then Procedure allocate fast channels does not alays lead to a global optimum. For a counterexample, consider an SoC consisting of to cores, having 12 and 2 inputs, respectively. For simplicity, e assume that there are no flip flops and e take the scan time (l d i /k if the core i is connected to slo channels or d i /k if it is connected to fast channels) as the surrogate for the test time (if all test sets are of equal size, the test time is proportional to the scan time). Let 4 pins be available, and let 2 available channels be fast. Assume l =2. The algorithm allocate pins ould distribute 3 channels to Core 1 and 1 channel to Core 2. The scan time for Core 1 is l 12/3 =2 4 = 8; for Core 2 the scan time is 2 2/1 = 4. No, fast channels are distributed by Procedure allocate fast channels. Unfortunately, there are not enough fast channels to serve Core 1 (2 are available hile 3 are needed), and allocating fast channels to Core 2 ould not reduce the overall test time. Thus, Procedure allocate pins in conjunction ith Procedure allocate fast channels run afterards has lead to test time of 8. Hoever, allocating to channels, both of them fast, to Core 1, and the to remaining channels to Core 2 ould result in test time 12/2 = 6 for Core 1 (note the missing multiplication by l = 2 as the channels are no fast) and 2 2/2 = 2 for Core 2 and test time of 6. Note that if only 3 instead of 4 pins (and still 2 fast channels) ould be available, the algorithm ould have lead to the optimal solution (test time 6). Paradoxically, increasing available resources in this case actually leads to deterioration of results. One may be tempted to assume that the optimality is given if the test time is computed also for all smaller numbers of available pins P and the minimal test time is taken (after all, if not using some pins actually helps, there is no obligation to employ them; see [8] for arguments hy as fe of possible pins should be used for testing even if they are available). To differentiate this approach, e from no on refer to our current method (i. e. running Procedure allocate pins and Procedure allocate fast channels afterards) as Heuristic 1. In order to incorporate the mentioned change into the algorithm, e modify it as follos: e no distribute the fast channels (hich is done by calling Procedure allocate fast channels) in each iteration of Procedure assign pins, right after the line (8). We compute the test time and remember its minimal value. If in the test time computed in the last iteration of the algorithm exceeds this minimal value, the minimal value is reported instead. Unfortunately, it is also possible to give a counterexample for hich the algorithm modified in the ay indicated does not find the optimal solution: let there be three cores, ith 24, 10 and 4 inputs respectively. Let 4 pins and 2 fast channels be available and let l be 10. In the first iteration, the algorithm allocate pins ould allocate 1 pin to each core, leading to test times of 240, 100 and 40, respectively (if slo channels are used). No, an attempt is done to distribute 2 fast channels. Obviously, they are assigned to Cores 1 and 2, loering their test time to 24 and 10, so the overall test time is 40 as determined by Core 3. The fast

4 channel assignments are rolled back and the next iteration of the algorithm is run, resulting in one more pin assigned to Core 1. The test time assuming slo channels ould no become 120, 100 and 40. Both fast channels ould be assigned to Core 1, loering its test time to 12. Hoever, there are no more fast channels to assign to Core 2, so its test time of 100 determines the overall test time. Since e have stored the test time 40 in the first iteration, the algorithm reports 40 as the optimum. Hoever, allocating one fast channel to both Core 1 and Core 2 and to slo channels to Core 3 results in test times 24, 10 and 20 and thus a minimal solution hich the modified algorithm has not found despite the additional effort. We ant to refer to the modified algorithm as Heuristic 2. For comparison purposes e also have implemented an exact algorithm based on exhaustive enumeration. It considers each possible pin assignment (but not every assignment of fast channels). For instance, for an SoC consisting of three cores and 5 available pins folloing six assignments are considered: (1, 1, 3); (1, 2, 2); (1, 3, 1); (2, 1, 2); (2, 2, 1); (3, 1, 1). For each assignment, fast channels are distributed by Procedure allocate fast channels. Since the greedy allocation of fast channels leads to the optimal test time if the pin assignment is fixed, considering all pin assignments yields the global optimum. 4 Experimental Results Circuit PI PO FF Test size s s838, s s s s s s s s Table 1: Circuit data We report the results for three hypothetical SOCs, here the first SOC consists of 10 ISCAS-89 [13] benchmark circuits. In Table 1, the names of used circuits accompanied by the number of primary inputs, primary outputs and flip flops, are given. In the last column of Table 1, the number of vectors in the test set is shon. This number is a best of selection from [14, 15, 16]. The second SOC consists of the four biggest circuits of the ten circuits from Table 1, given in its four last ros. The third SoC contains five instances of s420.1 and five instances of s (it is meant to demonstrate the behavior for SoCs having multiple instances of the same core). The results for Heuristic 1 are given in graph form in Figure 2. Each graph contains several measurements for different numbers of available pins P,shon to the right hand side. At the X-axis, the number F of available fast ATE channels is given, the overall test application time (in clock cycles) is depicted at the Y-axis. Note that the values F>Pare not considered. The speed ratio beteen fast and slo channels, l, is set to 2 in all experiments. Thus, slo channels allo for scan chains operating ith half the nominal frequency of the IC. For instance, consider to upper curves in the graph for SOC 1. For the number 5 at the X-axis, the Y coordinates of the curves are 128,928 and 92,664, respectively. This means that for 15 available pins, 5 of hich are connected to the fast channels and the remaining 10 to the slo ones, Heuristic 1 delivered a solution resulting in the test time of 128,928 clock cycle. For 20 available pins (5 of hich are fast and the rest slo), Heuristic 1 created an architecture so that 92,664 clock cycles are required for applying all test sets. Thus, each curve corresponds to a fixed number P of available pins (fast and slo together, the number is given in the legend to the right hand side), and the X-coordinate specifies ho many pins are connected to a fast channel (F ). Note that for F = 10, the upper curve is belo the loer one. From the graphs, one can see that most curves have large horizontal segments. Theses indicate that providing more high-cost, high-speed ATE channels actually does not yield an improvement in test time. It is especially noteorthy that many curves have such a horizontal segment at their end, meaning that using fast channels solely is not efficient since replacing some of them ith slo pins ould result in the same test time. Thus, there is a case for mixing slo and fast channels hen testing a System-on-Chip. Apart from these horizontal segments, increasing F leads to test time reduction. The absolute amount of this reduction seems to decrease for higher values of F. Thus, the question hether the gain in cost due to reduced test time exceeds the cost of using a high-speed ATE channel should determine the final decision. In Figure 3 a comparison beteen different approaches is given. It can be seen that Heuristic 2 does indeed lead to test time reduction compared to Heuristic 1 but that there is still a gap to the optimal algorithm. Hoever, the gap is often not very large. All phenomena noted for the curves obtained using Heuristic 1 also hold for Heuristic 2 and the exact algorithm; a mixture of high-speed and lo-cost ATE channels seems to yield most cost-efficient results also here. The CPU time as negligible for all three experiments involving Heuristics 1 and 2. For the exact algorithm, all measurements (including some not re-

5 SOC 1 15 available pins 20 available pins 25 available pins 30 available pins 35 available pins SOC 1 15 available pins (heuristic 1) 15 available pins (heuristic 2) 15 available pins (exact) 30 available pins (heuristic 1) 30 available pins (heuristic 2) 30 available pins (exact) SOC 2 10 available pins 15 available pins 20 available pins 25 available pins 30 available pins 35 available pins SOC 2 27 available pins (heuristic 1) 27 available pins (heuristic 2) 27 available pins (exact) SOC 3 30 available pins 40 available pins 50 available pins 60 available pins 70 available pins 80 available pins 90 available pins SOC 3 25 available pins (heuristic 1) 25 available pins (heuristic 2) 25 available pins (exact) Figure 2: Experimental Results: Heuristic 1 Figure 3: Experimental Results: Method Comparison ported on here) have been conducted ithin one CPU hour on a Pentium/Linux system. This suggests that Heuristic 2 can be routinely used instead of Heuristic 1 in order to produce better results, hile the computational complexity of the exact algorithm probably makes it orth running it only in case of exceptionally scarce test resources or for very small SoCs. 5 Future Work This paper considers the test cost as a function of to variables, test time and ATE channel speed. No specific assumptions are made about the exact shape of the cost function. Under this presumption, a definitive conclusion can only be made if one cost factor can be reduced ithout affecting the other one. This actually happens, as e sho that fast channels can replace their slo counterparts ithout test time penalty. This being suggestive for itself, it can- not alays determine the cost-optimal number of fast channels to provide. Determining the exact test cost function depending from these to parameters ould allo to obtain the cost-minimal solution. Another interesting point orth further consideration is the impact of ATE channel speed to poer consumption. In CMOS technology poer is assumed to be consumed mainly during sitching operation. Loering the sitching activity by reducing frequency reduces average poer, hile peak poer is not necessarily reduced. One interesting approach ould be to let several slo channels perform their operation asynchronously, i. e. some slo channels sitch in clock cycles 1, 3, 5,..., hilst some other dosoincycles2, 4, 6,...Thisould reduce also the peak poer of the hole SoC. Note that using different nominal frequencies for different inputs of the circuits has been done in context of Built-In Self Test (BIST) [17, 18, 19]. More generally speaking, the problem of satisfying

6 poer constraints in SoC test ith additional flexibility of using fast or slo ATE channels can be seen as a kind of SoC test scheduling problem. To our best knoledge there is no research yet exploiting this flexibility. Also other cost components like memory-perpin or the impact on multi-site testing are a possible subject of future research. 6 Conclusions We studied the effects of mixing fast and slo ATE channels in SoC test. Concentrating on the Distribution Arcitecture, e allocated fast channels among the cores using to heuristics orking on top of the optimal pin assignment algorithm but not alays leading to the global optimum and an exact algorithm based on exhaustive enumeration. Experimental results demonstrated that often providing more fast channels results in little or no test time reduction thus only increasing test costs. There is furthermore a potential for optimizing poer consumption. One objection against the proposed method is the fact that the scan frequency in modern ICs is far belo the performance of high-end tester channels. Hence, the channels employed for scan testing are already lo-cost ones, so that taking even sloer ones instead might not have significant impact on the cost. While this may be true for brand-ne ATE, the situation changes if older equipment is in place. Then, purchasing relatively fe faster channels can lead to results similar to replacing all channels by ne ones. (Note that test systems alloing to mix channels ith different performance have already been available in the past, e. g. from Agilent.) Similarly, our results suggest that in the future, hen the scan frequency ill increase, it may be feasible to replace only some of the channels, thus extending the life cycle of today s investition. We conclude that mixing fast and slo pins may be a free lunch since ATE costs are reduced ith no test time penalty. 7 References [1] Y. Zorian, E. J. Marinissen, and Sujit Dey. Testing embedded-core based system chips. In Int l Test Conf., pages , [2] K. Chakrabarty. Test scheduling for corebased systems using mixed integer-linear programming. IEEE Trans. on CAD, 19(10): , [3] K. Chakrabarty. Design of system-on-chip test access architectures using integer linear programming. In VLSI Test Symp., pages , [4] C. P. Ravikumar, A. Verma, and G. Chandra. A polynomial-time algorithm for poer constrained testing of core based systems. In Asian Test Symp., pages , [5] C. P. Ravikumar, G. Chandra, and A. Verma. Simultaneous module selection and scheduling for poer-constrained testing of core based systems. In VLSI Design, [6] M. Sugihara, H. Date, and H. Yasuura. A novel test methodology for core-based system LSIs and a testing time minimization problem. In Int l Test Conf., pages , [7] Y. Huang, W.-T. Cheng, C.-C. Tsai, N. Mukherjee, O. Samman, Z. Yahia, and S. M. Reddy. Resource allocation and test scheduling for concurrent test of core-based SOC design. In Asian Test Symp., pages , 20. [8] V. Iyengar, S.K. Goel, E.J. Marinissen, and K. Chakrabarty. Test resource optimization for multi-site testing of SOCs under ATE memory depth constraints. In Int l Test Conf., pages , [9] J. Aerts and E. J. Marinissen. Scan chain design for test time reduction in core-based ICs. In Int l Test Conf., pages , [10] P. Varma and S. Bhatia. A structured test reuse methodology for core-based system chips. In Int l Test Conf., pages , [11] E. J. Marinissen, R. Arendsen, G. Bos, H. Dingermanse, M. Lousberg, and C. Wouters. A structured and scalable mechanism for test access to embedded reusable cores. In Int l Test Conf., pages , [12] I. Polian and B. Becker. Optimal bandidth allocation in concurrent soc test under pin number constraints. In Workshop on RTL and High Level Testing (WRTLT), pages 12 17, [13] F. Brglez, D. Bryan, and K. Kozminski. Combinational profiles of sequential benchmark circuits. In Int l Symp. Circ. and Systems, pages , [14] I. Hamzaoglu and J.H. Patel. Ne techniques for deterministic test pattern generation. Jour. of Electronic Testing: Theory and Applications, 15:63 73, [15] S. Kajihara, I. Pomeranz, K. Kinoshita, and S.M. Reddy. Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. IEEE Trans. on CAD, 14(12): , [16] I. Pomeranz, L.N. Reddy, and S.M. Reddy. COMPACTEST: A method to generate compact test sets for combinational circuits. In Int l Test Conf., pages , [17] S. Wang and S.K. Gupta. DS-LFSR: A ne BIST TPG for lo heat dissipation. In Int l Test Conf., pages , [18] R. Sankaralingam, B. Pouya, and N. Touba. Reducing poer dissipation during test using scan chain disable. In VLSI Test Symp., pages , 20. [19] N.Z. Basturkmen, S.M. Reddy, and I. Pomeranz. A lo-poer pseudo-random BIST technique. In Int l Conf. on Comp. Design, pages , 2002.

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Lecture 15. Turbo codes make use of a systematic recursive convolutional code and a random permutation, and are encoded by a very simple algorithm:

Lecture 15. Turbo codes make use of a systematic recursive convolutional code and a random permutation, and are encoded by a very simple algorithm: 18.413: Error-Correcting Codes Lab April 6, 2004 Lecturer: Daniel A. Spielman Lecture 15 15.1 Related Reading Fan, pp. 108 110. 15.2 Remarks on Convolutional Codes Most of this lecture ill be devoted to

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling

Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal and Prathima Agrawal Department of Electrical and Computer Engineering Auburn University

More information

X-Masking During Logic BIST and Its Impact on Defect Coverage

X-Masking During Logic BIST and Its Impact on Defect Coverage X-Masking During Logic BIST and Its Impact on Defect Coverage Yuyi Tang Hans-Joachim Wunderlich Institute of Computer Architecture and Computer Engineering, University of Stuttgart Pfaffenwaldring 47,

More information

Removing Ionospheric Corruption from Low Frequency Radio Arrays

Removing Ionospheric Corruption from Low Frequency Radio Arrays Removing Ionospheric Corruption from Lo Frequency Radio Arrays Sean Ting 12/15/05 Thanks to Shep Doeleman, Colin Lonsdale, and Roger Cappallo of Haystack Observatory for their help in guiding this proect

More information

Using Statistical Transformations to Improve Compression for Linear Decompressors

Using Statistical Transformations to Improve Compression for Linear Decompressors Using Statistical Transformations to Improve Compression for Linear Decompressors Samuel I. Ward IBM Systems &Technology Group 11400 Burnet RD Austin TX 78758 E-mail: siward@us.ibm.com Chris Schattauer,

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Evaluation of Large Integer Multiplication Methods on Hardware

Evaluation of Large Integer Multiplication Methods on Hardware Evaluation of Large Integer Multiplication Methods on Hardare Rafferty, C., O'Neill, M., & Hanley, N. (217). Evaluation of Large Integer Multiplication Methods on Hardare. IEEE Transactions on Computers.

More information

Copyright 2010 Rock Star Recipes Ltd.

Copyright 2010 Rock Star Recipes Ltd. Copyright 2010 Rock Star Recipes Ltd. ll rights reserved. No part of this book may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, electronic, mechanical, photocopying,

More information

RECENT advances in CMOS technology have led to a

RECENT advances in CMOS technology have led to a 120 IEEE TRANSACTIONS ON COMPUTERS, VOL. 56, NO. 1, JANUARY 2007 Optimization of Dual-Speed TAM Architectures for Efficient Modular Testing of SOCs Anuja Sehgal, Member, IEEE, and Krishnendu Chakrabarty,

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Testability Trade-offs for BIST Data Paths

Testability Trade-offs for BIST Data Paths Testability Trade-offs for BIST Data Paths Nicola Nicolici and Bashir M. Al-Hashimi Your Reference:JETT76601 Initial Submission - 20 July 2001 Revised Submission - 16 June 2003 Final Submission - 21 January

More information

X-Masking During Logic BIST and Its Impact on Defect Coverage

X-Masking During Logic BIST and Its Impact on Defect Coverage X-Masking During Logic BIST and Its Impact on Defect Coverage Yuyi Tang Hans-Joachim Wunderlich Institute of Computer Architecture and Computer Engineering, University of Stuttgart Pfaffenwaldring 47,

More information

SIGNATURE ANALYSIS FOR MEMS PSEUDORANDOM TESTING USING NEURAL NETWORKS

SIGNATURE ANALYSIS FOR MEMS PSEUDORANDOM TESTING USING NEURAL NETWORKS 2th IMEKO TC & TC7 Joint Symposium on Man Science & Measurement September, 3 5, 2008, Annecy, France SIGATURE AALYSIS FOR MEMS PSEUDORADOM TESTIG USIG EURAL ETWORKS Lukáš Kupka, Emmanuel Simeu², Haralampos-G.

More information

Efficient Test Data Compression and Decompression for System-on-a-Chip using Internal Scan Chains and Golomb Coding

Efficient Test Data Compression and Decompression for System-on-a-Chip using Internal Scan Chains and Golomb Coding Efficient Test Data Compression and Decompression for System-on-a-Chip using Internal Scan Chains and Golomb Coding Anshuman Chandra and Krishnendu Chakrabarty Department of Electrical and Computer Engineering

More information

Comparison of Mesh Protection and Restoration Schemes and the Dependency on Graph Connectivity

Comparison of Mesh Protection and Restoration Schemes and the Dependency on Graph Connectivity Comparison of Mesh Protection and Restoration Schemes and the Dependency on Graph Connectivity John Doucette, Wayne D. Grover TRLabs, #800 06-98 Avenue, Edmonton, Alberta, Canada T5K P7 and Department

More information

Series 430 Pneumatic Controller Modules Type 3433 Additional Modules Type 3437

Series 430 Pneumatic Controller Modules Type 3433 Additional Modules Type 3437 eries 430 Pneumatic s Type 3433 Additional s Type 3437 Application ler modules for installation in Type 3430 Pneumatic lers Additional modules for supplementing controller modules used in special industrial

More information

Infographics for Educational Purposes: Their Structure, Properties and Reader Approaches

Infographics for Educational Purposes: Their Structure, Properties and Reader Approaches Infographics for Educational Purposes: Their Structure, Properties and Reader Approaches Assist. Prof. Dr. Serkan Yıldırım Ataturk University, Department of Computer Education and Instructional Technology

More information

Interconnect testing of FPGA

Interconnect testing of FPGA Center for RC eliable omputing Interconnect Testing of FPGA Stanford CRC March 12, 2001 Problem Statement Detecting all faults in FPGA interconnect resources Wire segments Programmable interconnect points

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 ourse Outline Design for Test of Digital Systems TDD33 rik Larsson Department of omputer Science! Introduction; Manufacturing, afer sort, Final test, oard and System Test, Defects, and Faults! Test generation;

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Sizing of active power filters using some optimization strategies

Sizing of active power filters using some optimization strategies Sizing of active poer filters using some optimization strategies Dariusz Grabosi, Marcin Maciąże, Marian Paso Silesian University of Technology, Faculty of Electrical Engineering 44-100 Gliice, ul. Aademica

More information

Design Automation for IEEE P1687

Design Automation for IEEE P1687 Design Automation for IEEE P1687 Farrokh Ghani Zadegan 1, Urban Ingelsson 1, Gunnar Carlsson 2 and Erik Larsson 1 1 Linköping University, 2 Ericsson AB, Linköping, Sweden Stockholm, Sweden ghanizadegan@ieee.org,

More information

EFFECTS OF CHARGE INJECTION ERROR ON SWITCHED CURRENT DIVIDER CIRCUITS.

EFFECTS OF CHARGE INJECTION ERROR ON SWITCHED CURRENT DIVIDER CIRCUITS. EFFECTS OF CHARGE INJECTION ERROR ON SWITCHED CURRENT DIVIDER CIRCUITS. E.GARNIER, PH. ROUX and Ph.MARCHEGAY. aboratoire IX C.N.R.S. UMR 5818 E.N.S.E.I.R.B Université Bordeaux I 351, cours de la libération

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

1. Description of the research proposal

1. Description of the research proposal 1. Description of the research proposal a) Duration of the project and expected total cost Duration 4 years (2006-2009) with total cost 839 000.- EEK b) General background About the importance of the research

More information

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. An Ecient Path Delay Fault Coverage Estimator Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. AT&T Bell Labs Rutgers University

More information

TEST data volume is a major problem encountered in the

TEST data volume is a major problem encountered in the 1076 IEEE TRANSACTIONS ON COMPUTERS, VOL. 52, NO. 8, AUGUST 2003 Test Data Compression and Test Resource Partitioning for System-on-a-Chip Using Frequency-Directed Run-Length (FDR) Codes Anshuman Chandra,

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

Reality Chess. Yellow. White

Reality Chess. Yellow. White Reality Chess Reality Chess is a game for four players (ith variations for to and three players hich ill be covered in separate sections). Although most of the primary rule set for standard chess is employed,

More information

Power-Safe Test Application Using An Effective Gating Approach Considering Current Limits

Power-Safe Test Application Using An Effective Gating Approach Considering Current Limits 9th IEEE VLSI Test Symposium Power-Safe Test Application Using An Effective Gating Approach Considering Current Limits Wei Zhao, Mohammad Tehranipoor, and Sreejit Chakravarty ECE Department, University

More information

Series 430 Pneumatic Controller Modules Type 3433 Additional Modules Type 3437

Series 430 Pneumatic Controller Modules Type 3433 Additional Modules Type 3437 eries 430 Pneumatic ler s Type 3433 Additional s Type 3437 Application ler modules for the Type 3431 and 3432 tations Additional modules for supplementing controller modules used in special industrial

More information

RECURSIVE BLIND IDENTIFICATION AND EQUALIZATION OF FIR CHANNELS FOR CHAOTIC COMMUNICATION SYSTEMS

RECURSIVE BLIND IDENTIFICATION AND EQUALIZATION OF FIR CHANNELS FOR CHAOTIC COMMUNICATION SYSTEMS 6th European Signal Processing Conference (EUSIPCO 008), Lausanne, Sitzerland, August 5-9, 008, copyright by EURASIP RECURSIVE BLIND IDENIFICAION AND EQUALIZAION OF FIR CHANNELS FOR CHAOIC COMMUNICAION

More information

TECHNOLOGY scaling, aided by innovative circuit techniques,

TECHNOLOGY scaling, aided by innovative circuit techniques, 122 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 2, FEBRUARY 2006 Energy Optimization of Pipelined Digital Systems Using Circuit Sizing and Supply Scaling Hoang Q. Dao,

More information

RECOMMENDATION ITU-R P Attenuation by atmospheric gases

RECOMMENDATION ITU-R P Attenuation by atmospheric gases Rec. ITU-R P.676-6 1 RECOMMENDATION ITU-R P.676-6 Attenuation by atmospheric gases (Question ITU-R 01/3) (1990-199-1995-1997-1999-001-005) The ITU Radiocommunication Assembly, considering a) the necessity

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

Reliable and Total Order Broadcast in the Crash-Recovery Model

Reliable and Total Order Broadcast in the Crash-Recovery Model Reliable and Total Order Broadcast in the Crash-Recovery Model Romain Boichat Rachid Guerraoui Communication Systems Department Siss Federal Institute of Technology CH - 1015 Lausanne Abstract This paper

More information

Run-Length Based Huffman Coding

Run-Length Based Huffman Coding Chapter 5 Run-Length Based Huffman Coding This chapter presents a multistage encoding technique to reduce the test data volume and test power in scan-based test applications. We have proposed a statistical

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding The 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS 2012) BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding and el_sadredini@comp.iust.ac.ir,

More information

Exploiting Regularity for Low-Power Design

Exploiting Regularity for Low-Power Design Reprint from Proceedings of the International Conference on Computer-Aided Design, 996 Exploiting Regularity for Low-Power Design Renu Mehra and Jan Rabaey Department of Electrical Engineering and Computer

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper.

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper. Sensitivity - A New Method to Estimate Dissipation Considering Uncertain Specications of Primary Inputs Zhanping Chen Electrical Engineering Purdue University W. Lafayette, IN 47907 Kaushik Roy Electrical

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

WAN_0247. DRC Attack and Decay Times for Real Audio Signals INTRODUCTION SCOPE

WAN_0247. DRC Attack and Decay Times for Real Audio Signals INTRODUCTION SCOPE DRC Attack and Decay Times for Real Audio Signals INTRODUCTION SCOPE Dynamic range controllers (DRCs) are systems used to dynamically adjust the signal gain in conditions here the input amplitude is unknon

More information

16 MICROSTRIP LINE FILTERS

16 MICROSTRIP LINE FILTERS 16 Microstrip Line Filters 16 MICRSTRIP LINE FILTERS Receiver De- Mod 99 Washington Street Melrose, MA 176 Phone 781-665-14 Toll Free 1-8-517-8431 Visit us at.testequipmentdepot.com Antenna Lo-Pass Filter

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Research Article A Mathematical Analysis of the Card Game of Betweenies through Kelly s Criterion

Research Article A Mathematical Analysis of the Card Game of Betweenies through Kelly s Criterion Journal of Probability and Statistics Volume 2010, Article ID 313148, 19 pages doi:10.1155/2010/313148 Research Article A Mathematical Analysis of the Card Game of Beteenies through Kelly s Criterion Konstantinos

More information

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS G.Lourds Sheeba Department of VLSI Design Madha Engineering College, Chennai, India Abstract - This paper investigates

More information

An Adaptive Data-transfer Protocol for Sensor Networks with Data Mules

An Adaptive Data-transfer Protocol for Sensor Networks with Data Mules An Adaptive Data-transfer Protocol for Sensor Netorks ith Data Mules Giuseppe Anastasi *, Marco Conti #, Emmanuele Monaldi *, Andrea Passarella # * Dept. of Information Engineering University of Pisa,

More information

Calculation of Capacitances of Symmetrical Triple Coupled CPW Transmission Lines and Multilayer CPW Broadside Coupled Lines Balun

Calculation of Capacitances of Symmetrical Triple Coupled CPW Transmission Lines and Multilayer CPW Broadside Coupled Lines Balun alculation of apacitances of Symmetrical Triple oupled PW Transmission Lines and Multilayer PW Broadside oupled Lines Balun S. M. Musa, M. N. O. Sadiku, and K. T. Harris Roy G. Perry ollege of Engineering,

More information

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time 2013 31st IEEE VLSI Test Symposium (VTS) Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time Praveen Venkataramani, Suraj Sindia and Vishwani D. Agrawal Department of Electrical and

More information

Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency Scaling

Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency Scaling Manuscript - Main file Click here to download Manuscript: JETTA.tex Click here to view linked References 0 0 0 0 0 Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency

More information

A Large-Scale MIMO Precoding Algorithm Based on Iterative Interference Alignment

A Large-Scale MIMO Precoding Algorithm Based on Iterative Interference Alignment BUGARAN ACADEMY OF SCENCES CYBERNETCS AND NFORMATON TECNOOGES Volume 14, No 3 Sofia 014 Print SSN: 1311-970; Online SSN: 1314-4081 DO: 10478/cait-014-0033 A arge-scale MMO Precoding Algorithm Based on

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Providing Wireless Coverage to High-rise Buildings Using UAVs

Providing Wireless Coverage to High-rise Buildings Using UAVs 1 Providing Wireless Coverage to High-rise Buildings Using UAVs Hazim Shakhatreh 1, Abdallah Khreishah 1, and Bo Ji 2 1 Department of Electrical and Computer Engineering, Ne Jersey Institute of Technology

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

A Compact Narrow-Band Bandstop Filter Using Spiral-Shaped Defected Microstrip Structure

A Compact Narrow-Band Bandstop Filter Using Spiral-Shaped Defected Microstrip Structure RADIOENGINEERING, VOL. 23, NO. 1, APRIL 21 29 A Compact Narro-Band Bandstop Filter Using Spiral-Shaped Defected Microstrip Structure Jun WANG 1, Huansheng NING 1,2, Qingxu XIONG 1, Lingfeng MAO 3 1 School

More information

An Efficient Method for Vehicle License Plate Detection in Complex Scenes

An Efficient Method for Vehicle License Plate Detection in Complex Scenes Circuits and Systems, 011,, 30-35 doi:10.436/cs.011.4044 Published Online October 011 (http://.scirp.org/journal/cs) An Efficient Method for Vehicle License Plate Detection in Complex Scenes Abstract Mahmood

More information

Parallel Test Scheduling of 3D Stacked SoCs with Temperature and Time Constraints

Parallel Test Scheduling of 3D Stacked SoCs with Temperature and Time Constraints IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. II (Jul - Aug. 2015), PP 01-13 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Parallel Test Scheduling of

More information

Blind Beamforming for Cyclostationary Signals

Blind Beamforming for Cyclostationary Signals Course Page 1 of 12 Submission date: 13 th December, Blind Beamforming for Cyclostationary Signals Preeti Nagvanshi Aditya Jagannatham UCSD ECE Department 9500 Gilman Drive, La Jolla, CA 92093 Course Project

More information

I Clock Constraints I Tp 2 w (1) T, - Tp 2 w

I Clock Constraints I Tp 2 w (1) T, - Tp 2 w Identification of Critical Paths in Circuits with Level-Sensitive Latches Timothy M. Burks Karem A. Sakallah Trevor N. Mudge The University of Michigan Abstract This paper describes an approach to timing

More information

Electric amplifier for flow control with proportional

Electric amplifier for flow control with proportional Electric amplifier for flo control ith proportional valves RE 29955/07.14 Replaces: 09.11 1/8 Type VT 5035 Component series 1X H5581 Table of contents Content Page eatures 1 Ordering code 2 unctional description

More information

Power Droop Testing. Ilia Polian 1, Alejandro Czutro 1, Sandip Kundu 2 and Bernd Becker 1 1 Albert-Ludwigs-University

Power Droop Testing. Ilia Polian 1, Alejandro Czutro 1, Sandip Kundu 2 and Bernd Becker 1 1 Albert-Ludwigs-University Power Droop Testing Ilia Polian, Alejandro Czutro, Sandip Kundu 2 and Bernd Becker Albert-Ludwigs-University Georges-Köhler-Allee 5 79 Freiburg, Germany {polian aczutro becker}@informatik.uni-freiburg.de

More information

A Three-Microphone Adaptive Noise Canceller for Minimizing Reverberation and Signal Distortion

A Three-Microphone Adaptive Noise Canceller for Minimizing Reverberation and Signal Distortion American Journal of Applied Sciences 5 (4): 30-37, 008 ISSN 1546-939 008 Science Publications A Three-Microphone Adaptive Noise Canceller for Minimizing Reverberation and Signal Distortion Zayed M. Ramadan

More information

BALANCING MONEY AND EXCELLING AT IT TOO! MODELING THE LAW OF THE LEVER NAME:

BALANCING MONEY AND EXCELLING AT IT TOO! MODELING THE LAW OF THE LEVER NAME: BALANCING MONEY AND EXCELLING AT IT TOO! MODELING THE LAW OF THE LEVER NAME: Resource Key DATE: PERIOD: Today you ill collect and analyze data using a modified seesa. Your goal ill be to find the relationship

More information

Kalman Filter Estimation with Edge Detection-Based Hybrid Sensing

Kalman Filter Estimation with Edge Detection-Based Hybrid Sensing 2016 American Control Conference (ACC) Boston Marriott Copley Place July 6-8, 2016. Boston, MA, USA Kalman Filter Estimation ith Edge Detection-Based Hybrid Sensing Y. Chen and K. R. Oldham Abstract A

More information

The Effects of MIMO Antenna System Parameters and Carrier Frequency on Active Control Suppression of EM Fields

The Effects of MIMO Antenna System Parameters and Carrier Frequency on Active Control Suppression of EM Fields RADIOENGINEERING, VOL. 16, NO. 1, APRIL 2007 31 The Effects of MIMO Antenna System Parameters and Carrier Frequency on Active Control Suppression of EM Fields Abbas MOAMMED and Tommy ULT Dept. of Signal

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Color Correction in Color Imaging

Color Correction in Color Imaging IS&'s 23 PICS Conference in Color Imaging Shuxue Quan Sony Electronics Inc., San Jose, California Noboru Ohta Munsell Color Science Laboratory, Rochester Institute of echnology Rochester, Ne York Abstract

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

STRATEGIES FOR SELECTING ADDITIONAL TRAFFIC COUNTS FOR IMPROVING O-D TRIP TABLE ESTIMATION

STRATEGIES FOR SELECTING ADDITIONAL TRAFFIC COUNTS FOR IMPROVING O-D TRIP TABLE ESTIMATION Transportmetrica, Vol. 3, No. 3 (2007), 9-2 STRATEGIES FOR SELECTING ADDITIONAL TRAFFIC COUNTS FOR IMPROVING O-D TRIP TABLE ESTIMATION ANTHONY CHEN, SURACHET PRAVINVONGVUTH 2, PIYA CHOOTINAN 3, MING LEE

More information

Qian Wang and Sotirios G. Ziavras. New Jersey Institute of Technology. Professor Sotirios G. Ziavras

Qian Wang and Sotirios G. Ziavras. New Jersey Institute of Technology. Professor Sotirios G. Ziavras Poerful and Feasible Processor Interconnections With an Evaluation of Their Communications Capabilities Qian Wang and Sotirios G. Ziavras Departments of Electrical and Computer Engineering, and Computer

More information

X.-T. Fang, X.-C. Zhang, and C.-M. Tong Missile Institute of Air Force Engineering University Sanyuan, Shanxi , China

X.-T. Fang, X.-C. Zhang, and C.-M. Tong Missile Institute of Air Force Engineering University Sanyuan, Shanxi , China Progress In Electromagnetics Research Letters, Vol. 23, 129 135, 211 A NOVEL MINIATURIZED MICRO-STRIP SIX-PORT JUNCTION X.-T. Fang, X.-C. Zhang, and C.-M. Tong Missile Institute of Air Force Engineering

More information

Low Power Register Design with Integration Clock Gating and Power Gating

Low Power Register Design with Integration Clock Gating and Power Gating Low Power Register Design with Integration Clock Gating and Power Gating D.KoteswaraRao 1, T.Renushya Pale 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 Assistant

More information

ADJUSTING SERVO DRIVE COMPENSATION George W. Younkin, P.E. Life Fellow IEEE Industrial Controls Research, Inc. Fond du Lac, Wisconsin

ADJUSTING SERVO DRIVE COMPENSATION George W. Younkin, P.E. Life Fellow IEEE Industrial Controls Research, Inc. Fond du Lac, Wisconsin ADJUSTING SERVO DRIVE COMPENSATION George W. Younkin, P.E. Life Fello IEEE Industrial Controls Research, Inc. Fond du Lac, Wisconsin All industrial servo drives require some form of compensation often

More information

TESTING today s system-on-chip (SoC) circuits is a challenge

TESTING today s system-on-chip (SoC) circuits is a challenge IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 6, JUNE 2005 719 Nine-Coded Compression Technique for Testing Embedded Cores in SoCs Mohammad Tehranipoor, Member, IEEE, Mehrdad

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

WiMax PLL's FIR Filter Design Using LMIs

WiMax PLL's FIR Filter Design Using LMIs International Journal of Scientific & Engineering Research, Volume 3, Issue, November- WiMax PLL's FIR Filter Design Using LMIs Hatem Elaydi, Ayman Alquqa, H Khozondar Abstract WiMax technology evolved

More information

Volume 29, Issue 3. Moonlighting Entrepreneurs

Volume 29, Issue 3. Moonlighting Entrepreneurs Volume 9, Issue 3 Moonlighting Entrepreneurs Linus Wilson University of Louisiana at Lafayette Abstract When ability complements effort, e ould expect effort to increase ith variables that proxy for ability.

More information

Design of Two-band GHz Superconducting Bolometric Detection Structure

Design of Two-band GHz Superconducting Bolometric Detection Structure PIERS ONLINE, VOL. 4, NO. 6, 2008 671 Design of To-band 150 220 GHz Superconducting Bolometric Detection Structure D. Rauly 1, A. Monfardini 2, A. Colin 3, and P. Febvre 1 1 IMEP-LAHC, UMR 5130 CNRS/INPG/UJF/USAVOIE

More information

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors Modeling Gate Oxide Short Defects in CMOS Minimum Transistors M. Renovell, J.M. Gallière, F. Azaïs and Y. Bertrand Laboratoire d'informatique Robotique Microélectronique de Montpellier LIRMM-UMII Université

More information

Preliminary Design for the Digital Processing Subsystem of a Long Wavelength Array Station I. Introduction and Summary II.

Preliminary Design for the Digital Processing Subsystem of a Long Wavelength Array Station I. Introduction and Summary II. LWA Memo No. 154 Preliminary Design for the Digital Processing of a Long Wavelength Array Station L. D'Addario and R. Navarro Jet Propulsion Laboratory, California Institute of Technology 1 11 February

More information

DRC Operation in Wolfson Audio CODECs WM8903 WM8904 WM8912 WM8944 WM8945 WM8946. Table 1 Devices that use the DRC Function

DRC Operation in Wolfson Audio CODECs WM8903 WM8904 WM8912 WM8944 WM8945 WM8946. Table 1 Devices that use the DRC Function DRC Operation in Wolfson Audio CODECs WAN-0215 INTRODUCTION This applications note has been created to explain the operation of the Dynamic Range Controller (DRC) used in the latest Wolfson audio CODECs.

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Energy-Efficient M-QAM Precoder Design with Spatial Peak Power Minimization for MIMO Directional Modulation Transceivers

Energy-Efficient M-QAM Precoder Design with Spatial Peak Power Minimization for MIMO Directional Modulation Transceivers 1 Energy-Efficient M-QAM Precoder Design ith Spatial Peak Poer Minimization for MIMO Directional Modulation Transceivers Ashkan Kalantari, Christos Tsinos, Mojtaba Soltanalian, Symeon Chatzinotas, Wing-Kin

More information

37 Game Theory. Bebe b1 b2 b3. a Abe a a A Two-Person Zero-Sum Game

37 Game Theory. Bebe b1 b2 b3. a Abe a a A Two-Person Zero-Sum Game 37 Game Theory Game theory is one of the most interesting topics of discrete mathematics. The principal theorem of game theory is sublime and wonderful. We will merely assume this theorem and use it to

More information

Directional Modulation via Symbol-Level Precoding: A Way to Enhance Security

Directional Modulation via Symbol-Level Precoding: A Way to Enhance Security Directional Modulation via Symbol-Level Precoding: A Way to Enhance Security Ashkan Kalantari, Mojtaba Soltanalian, Sina Maleki, Symeon Chatzinotas, and Björn Ottersten, Fello, IEEE Abstract Wireless communication

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Design of Efficient Han-Carlson-Adder

Design of Efficient Han-Carlson-Adder Design of Efficient Han-Carlson-Adder S. Sri Katyayani Dept of ECE Narayana Engineering College, Nellore Dr.M.Chandramohan Reddy Dept of ECE Narayana Engineering College, Nellore Murali.K HoD, Dept of

More information

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier JAN DOUTRELOIGNE Center for Microsystems Technology (CMST) Ghent University

More information