Interconnect testing of FPGA

Size: px
Start display at page:

Download "Interconnect testing of FPGA"

Transcription

1 Center for RC eliable omputing Interconnect Testing of FPGA Stanford CRC March 12, 2001 Problem Statement Detecting all faults in FPGA interconnect resources Wire segments Programmable interconnect points matrices Muxes Application independent test 1 2 Outline Virtex FPGA Model Introduction Previous work Limitations Theoretical aspects Suggested Methods Future Work CLB Mux 3 4 Sparse Pipulation W1 W2 W3 N1 N2 N3 S1 S2 S3 E1 E2 E3 PIP : Programmable Interconnect Point One or path of pass transistors No PIP between each possible pair of pins Each node connectable to all others Proper positioning of PIPs matrix as a connected graph some pairs connected via multiple PIPs Different delays between different pairs + Fewer pass transistors + More density 5 6 Page 1

2 Interconnect Hierarchy of interconnects Single (link each adjacent CLB) Hex (every 3 and 6 CLB) Long (every 6 and 12 CLB) Longer lines are not necessarily slower Fatter Buffered Hierarchy to support speed and density BIST-based methods Comparison-based Parity-based Bus-Based Testing Previous Work 7 8 BIST-based Methods BIST-based Methods Wire Under Test (WUT) Comparison-based techniques [Stroud98] Same test to two groups of WUT Compare the results Parity-based techniques[sun00] TPG generates parity line for k WUTs ORA computes parity for k lines and compares it to original parity line Transparency of logic cells Implement identity function 9 10 Parity-based BIST Bus-based Testing Parity-checker (implemented by a CLB) Configure all wiring segments as long buses [Renovell 00, 98, 97] Bus : Multiple concatenated wires TPG WUTs k WUTs-Parity PCG-Parity Parity Code Generator Comparator ORA P/F At least 3 configurations Orthogonal Diagonal-1 Diagonal-2 Use conventional bus testing approaches Page 2

3 Limitations of Previous Work Theoretical Aspects Too simplistic model of switch matrix connectable pairs table is not regular ON-set Set of all used PIPs in the configuration Almost neglect the hierarchy of interconnect Real implementation issue How to read in and out the WUTs? Limitations in number of s per boundary cell Buffering and clocking long WUTs Lack of at-speed test Disability to detect delay faults OFF-set Set of unused PIPs that are incident with some used PIP at least one end Able to detect stuck-at-off faults of ON-set Causes open fault at line passing through Able to detect stuck-at-on faults of OFF-set Unused lines are driven Causes short between incident lines Example Theoretical Aspects W1 W2 W3 N1 N2 N3 E1 E2 E3 ON-set = {(N2,S2),(N3,S1),(E1,S3), (E3,W3) } OFF-set = {(N2,E2),(N3,S3),(S2,W1), (N1,S1),(E2,W3),(N1,E3), (E1,W1)} Full PIP coverage Detects all stuck-on and stuck-off of all PIPs Enough number of configuration such that Each PIP appears in at least one ON-set Each PIP appears in at least one OFF-set Full PIP coverage full interconnect coverage Some shorts may still remain uncovered Need other configurations to cover S1 S2 S Complete Configuration Each pin of switch matrix is incident to one and only one active PIP All unused PIPs are in OFF-set Corresponds to perfect matching problem matrix as a graph Full PIP coverage = Complete configurations to visit all PIPs + Polynomial time optimized algorithm[west 96] Minimum number of configurations Practical Limitations Need to at-speed testing Number of flip-flops per CLB 4 per each CLB in current FPGAs Limits the width of WUT Number of s per s The same as above Restricted routing from O-Mux to matrix O-Mux : MUX from LE output to switch matrix Page 3

4 Methods Bus Testing Bus testing Wires passing only through switch matrices Scan testing Wires passing through switch matrices, lo muxes, and flip-flops Long concatenation of wires passing through switch matrixes k Bus Testing + No need to pass through logic s + Wider WUTs More PIP coverage per configuration - Very large propagation delay Unable to detect delay faults - Bus width(k1) limited by number of per s Scan Testing Wires passing through switch matrixes and flip flops Register Scan Testing Solution + At-speed testing More compatible with real designs - Narrower WUTs Width limited by number of FFs per CLB Far less than number of compatible PIPs per switch matrix More configurations to cover all PIPs Shifted scan testing Register Page 4

5 Shifted Scan Testing Two categories of configurations Orthogonal for EW, NS PIPs Diagonal for NE,NW, SE,SW PIPs + At-speed testing Able to detect delay faults + More concurrent WUTs Less configurations + Able to detect shorts among different WUTs Future Work Considering -Muxes Configuration generation Algorithm for full PIP coverage Reasonable number of configurations Test Vector generation References [Sun 00] X. Sun, J. Xu, B. Chan, P. Trouborst, Novel Technique for Built-In-Seft Test of FPGA Interconnects, proc. of International Test Conference, 2000 [Renovell 00] M. Renovell, Y. Zorian, Different Experiments in Test Generation for XILINX FPGAs, proc. of International Test Conference, 2000 [Stroud 98] C. Stroud, S. Wijesuriya, C. Hamilton, M. Abramovici, Built-in self -test of FPGA interconnect, International Test Conference, Page(s): , [Renovell 98] M. Renovell, J. M. Portal, J. Figuras, Y. Zorian, Testing the Interconnect of RAM -Based FPGAs, IEEE Design & Test of Computers, Page(s): 45-50, January-March References [Renovell 97] M. Renovell, J. Figuras, Y. Zorian, Test of RAM - Based FPGA: Methodology and Application to the Interconnect, VLSI Test Symposium, Page(s): , [Tavana 97] D. Tavana, FPGA Architecture with Repeatable Tiles Including Routing Matrices and Matrices, Patent US , [West 96] D. B. West, Introduction to Graph Theory, Prentice Hall, Page 5

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT ASICs...THE COURSE (1 WEEK) PROGRAMMABLE ASIC INTERCONNECT 7 Key concepts: programmable interconnect raw materials: aluminum-based metallization and a line capacitance of 0.2pFcm 1 7.1 Actel ACT Actel

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect Programmable Interconnect CPE/EE 428, CPE 528: Session #13 Department of Electrical and Computer Engineering University of Alabama in Huntsville In addition to programmable cells, programmable ASICs must

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 7, July 2015, pg.21

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

A Fine Grain Configurable Logic Block

A Fine Grain Configurable Logic Block VLSI DESIGN 2001, Vol. 12, No. 4, pp. 527-536 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

CprE 583 Reconfigurable Computing

CprE 583 Reconfigurable Computing Quick Points CprE / ComS 58 Reconfigurable Computing Lectures are viewable for students via WebCT Quality is higher Use discussion forums Class e-mail list created: cpre58@iastate.edu Prof. Joseph Zambreno

More information

Analog Circuit Test. Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing

Analog Circuit Test. Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing Analog Circuit Test Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing Fault model based testing IEEE 1149.4 analog test bus standard Summary References

More information

VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier

VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier Manohar Mohanta 1, P.S Indrani 2 1Student, Dept. of Electronics and Communication Engineering, MREC, Hyderabad, Telangana, India

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

Fine-Grained Characterization of Process Variation in FPGAs

Fine-Grained Characterization of Process Variation in FPGAs Fine-Grained Characterization of Process Variation in FPGAs Haile Yu 1, Qiang Xu 1 and Philip H.W. Leong 1 Department of Computer Science and Engineering, The Chinese University of Hong Kong {hlyu,qxu}@cse.cuhk.edu.hk

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems George J. Starr, Jie Qin, Bradley F. Dutton, Charles E. Stroud, F. Foster Dai and Victor P. Nelson

More information

Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization

Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization Reconfigurable Hardware Implementation and Analysis of Mesh Routing for the Matrix Step of the Number Field Sieve Factorization Sashisu Bajracharya MS CpE Candidate Master s Thesis Defense Advisor: Dr

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Analysis of Parallel Prefix Adders

Analysis of Parallel Prefix Adders Analysis of Parallel Prefix Adders T.Sravya M.Tech (VLSI) C.M.R Institute of Technology, Hyderabad. D. Chandra Mohan Assistant Professor C.M.R Institute of Technology, Hyderabad. Dr.M.Gurunadha Babu, M.Tech,

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Efficient Implementation of Parallel Prefix Adders Using Verilog HDL

Efficient Implementation of Parallel Prefix Adders Using Verilog HDL Efficient Implementation of Parallel Prefix Adders Using Verilog HDL D Harish Kumar, MTech Student, Department of ECE, Jawaharlal Nehru Institute Of Technology, Hyderabad. ABSTRACT In Very Large Scale

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

Gigahertz SiGe BiCMOS FPGAs with new architecture and novel power management techniques

Gigahertz SiGe BiCMOS FPGAs with new architecture and novel power management techniques Journal of Circuits, Systems, and Computers c World Scientific Publishing Company Gigahertz SiGe BiCMOS FPGAs with new architecture and novel power management techniques K. Zhou, J. -R. Guo, C. You, J.

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Methodologies for Tolerating Cell and Interconnect Faults in FPGAs

Methodologies for Tolerating Cell and Interconnect Faults in FPGAs IEEE TRANSACTIONS ON COMPUTERS, VOL. 47, NO. 1, JANUARY 1998 15 Methodologies for Tolerating Cell and Interconnect Faults in FPGAs Fran Hanchek, Member, IEEE, and Shantanu Dutt, Member, IEEE Abstract The

More information

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design Books A. Crouch. Design for Test for Digital ICs and Embedded Core Systems Prentice Hall, 1999. M. Abramovici, M. Breuer, A. Friedman. Digital System Testing and Testable Design Computer Science Press,

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Design and implementation of Parallel Prefix Adders using FPGAs

Design and implementation of Parallel Prefix Adders using FPGAs IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 5 (Jul. - Aug. 2013), PP 41-48 Design and implementation of Parallel Prefix Adders

More information

ELLIPTIC curve cryptography (ECC) was proposed by

ELLIPTIC curve cryptography (ECC) was proposed by IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 High-Speed and Low-Latency ECC Processor Implementation Over GF(2 m ) on FPGA ZiaU.A.Khan,Student Member, IEEE, and Mohammed Benaissa,

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity Multiple Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity M. H. Tehranipour, N. Ahmed, M. Nourani Center for Integrated Circuits & Systems The University of Texas

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Design and Characterization of Parallel Prefix Adders using FPGAs

Design and Characterization of Parallel Prefix Adders using FPGAs Design and Characterization of Parallel Prefix Adders using FPGAs David H. K. Hoe, Chris Martinez and Sri Jyothsna Vundavalli Department of Electrical Engineering The University of Texas, Tyler dhoe@uttyler.edu

More information

Design and Estimation of delay, power and area for Parallel prefix adders

Design and Estimation of delay, power and area for Parallel prefix adders Design and Estimation of delay, power and area for Parallel prefix adders Abstract: Attunuri Anusha M.Tech Student, Vikas Group Of Institutions, Nunna,Vijayawada. In Very Large Scale Integration (VLSI)

More information

What this paper is about:

What this paper is about: The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays Steve Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, Canada Su-Shin

More information

LDPC Communication Project

LDPC Communication Project Communication Project Implementation and Analysis of codes over BEC Bar-Ilan university, school of engineering Chen Koker and Maytal Toledano Outline Definitions of Channel and Codes. Introduction to.

More information

Study of Power Consumption for High-Performance Reconfigurable Computing Architectures. A Master s Thesis. Brian F. Veale

Study of Power Consumption for High-Performance Reconfigurable Computing Architectures. A Master s Thesis. Brian F. Veale Study of Power Consumption for High-Performance Reconfigurable Computing Architectures A Master s Thesis Brian F. Veale Department of Computer Science Texas Tech University August 6, 1999 John K. Antonio

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

TRENDS in technology scaling make leakage power an

TRENDS in technology scaling make leakage power an IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 3, MARCH 2006 423 Active Leakage Power Optimization for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid

More information

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic RESERCH RTICLE OPEN CCESS n Efficient Higher Order nd High Speed Kogge-Stone Based Using Common Boolean Logic Kuppampati Prasad, Mrs.M.Bharathi M. Tech (VLSI) Student, Sree Vidyanikethan Engineering College

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Application-Independent Defect-Tolerant Crossbar Nano-Architectures

Application-Independent Defect-Tolerant Crossbar Nano-Architectures Application-Independent Defect-Tolerant Crossbar Nano-Architectures Mehdi B. Tahoori Electrical & Computer Engineering Northeastern University Boston, MA mtahoori@ece.neu.edu ABSTRACT Defect tolerance

More information

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit Design of Sub-0-Picoseconds On-Chip Time Measurement Circuit M.A.Abas, G.Russell, D.J.Kinniment Dept. of Electrical and Electronic Eng., University of Newcastle Upon Tyne, UK Abstract The rapid pace of

More information

FIELD-PROGRAMMABLE gate array (FPGA) chips

FIELD-PROGRAMMABLE gate array (FPGA) chips IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 54, NO. 11, NOVEMBER 2007 2489 3-D nfpga: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits Chen Dong, Deming

More information

Lecture 18. BUS and MEMORY

Lecture 18. BUS and MEMORY Lecture 18 BUS and MEMORY Slides of Adam Postula used 12/8/2002 1 SIGNAL PROPAGATION FROM ONE SOURCE TO MANY SINKS A AND XOR Signal le - FANOUT = 3 AND AND B BUS LINE Signal Driver - Sgle Source Many Sks

More information

32-Bit CMOS Comparator Using a Zero Detector

32-Bit CMOS Comparator Using a Zero Detector 32-Bit CMOS Comparator Using a Zero Detector M Premkumar¹, P Madhukumar 2 ¹M.Tech (VLSI) Student, Sree Vidyanikethan Engineering College (Autonomous), Tirupati, India 2 Sr.Assistant Professor, Department

More information

On-Chip Automatic Analog Functional Testing and Measurements

On-Chip Automatic Analog Functional Testing and Measurements On-Chip Automatic Analog Functional Testing and Measurements Chuck Stroud, Foster Dai, and Dayu Yang Electrical & Computer Engineering Auburn University from presentation to Select Universities Technology,

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

Circuit Level Modeling of Extra Combinational Delays in SRAM FPGAs Due to Transient Ionizing Radiation

Circuit Level Modeling of Extra Combinational Delays in SRAM FPGAs Due to Transient Ionizing Radiation 2014 IEEE Nuclear and Space Radiation Effects Conference (NSREC), July 14-18, Paris, France 1 Circuit Level Modeling of Extra Combinational Delays in SRM FPGs Due to Transient Ionizing Radiation Mostafa

More information

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson Optimization and Modeling of FPGA Circuitry in Advanced Process Technology by Charles Chiasson A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

XC9536 In-System Programmable CPLD. Power Management. Features. Description. December 4, 1998 (Version 5.0) 1 1* Product Specification

XC9536 In-System Programmable CPLD. Power Management. Features. Description. December 4, 1998 (Version 5.0) 1 1* Product Specification 9 XC9536 In-System Programmable CPLD December 4, 998 (Version 5.0) * Product Specification Features 5 ns pin-to-pin logic delays on all pins f CNT to 00 MHz 36 macrocells with 800 usable gates Up to 34

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Architecture and Design of Multiple Valued Digital and Computer Systems

Architecture and Design of Multiple Valued Digital and Computer Systems Architecture and Design of Multiple Valued Digital and Computer Systems Dusanka Bundalo 1, Zlatko Bundalo 2, Aleksandar Iliskovic 2, Branimir Djordjevic 3 1 Nova Banjalucka Banka Marije Bursac 7, 78000

More information

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN XXVII SIM - South Symposium on Microelectronics 1 Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN Jorge Tonfat, Ricardo Reis jorgetonfat@ieee.org, reis@inf.ufrgs.br Grupo de Microeletrônica

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE380 Digital Logic Implementation Technology: Standard Chips and Programmable Logic Devices Dr. D. J. Jackson Lecture 10-1 Standard chips A number of chips, each with a few logic gates, are commonly

More information

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information