DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

Size: px
Start display at page:

Download "DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and"

Transcription

1 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable Hardware (DPRH). In the conventional FPGA design, one control logic can be programmed at a time. In the industrial control, the reconfigurable computing offers an advantage of having more than two architectures in the same FPGA and these architectures are dynamically configured without shutting down the system. Also, the resources are shared during reconfiguration resulting in better usage of FPGA resources. This Chapter brings out the literature of dynamic reconfigurable concepts, Dynamic Partial Reconfiguration (DPR) using Spartan-3 FPGA and the Dynamic Partial Reconfigurable PWM (DPRPWM) controller for VSI Dynamic Reconfiguration in FPGA Dynamic reconfiguration is defined as the selective updation of a subsection or the entire FPGA s programmable logic and routing resources while the remainder of the device s programmable resources continues to function without interruption. Dynamic Reconfigurable Hardware (DRH) provides the flexibility of changing digital hardware configurations during application execution. By taking advantage of reconfigurations, hardware can be shared among various applications and upgraded remotely without rebooting. The DRH allows us to modify

2 78 the target device content without any lengthy procedures such as making changes in the code, code compilation, synthesis and bit stream download into the target device. This feature saves chip area, considerable time and is well suited for unmanned applications such as robotics, continuous process plant and space applications. Fig. 5.1 is a simplified representation of dynamic reconfiguration in progress. Several sub circuits are shown resident on the FPGA array, but only one is to be reconfigured. Fig Concept of dynamic reconfiguration in FPGA The operation of the appropriate sub circuit is suspended and only the logic cells to be modified are overwritten with new configuration data. The other active sub circuits continue to function during the reconfiguration period. Dynamically reconfigurable FPGAs offer the fastest possible way to change an active FPGA circuit since only the parts that need to be reconfigured are interrupted. This results in faster overall system operation [ , ].

3 79 Dynamic Partial Reconfiguration (DPR) is carried by two design methods called Difference Based (DB) flow and modular based flow. In DB based flow the designer must manually edit low-level changes. Module Design Synthesis of each module Floor planning- modules IOBs and global logic Insert UCF Active module phase- augument UCF with module level timing constraints. NGDBUILD, MAP, PAR, BITGEN and PIMCREATE Design verification using FPGA editor Assembly of entire design Bitstream generation and download into FPGA Fig Schematic representation of modular based design flow The designer can change the configuration of several kinds of components like look-up-table equations, internal RAM contents, I/O standards, multiplexers, flip-flop initialization and reset values. For complex designs, this flow results inaccurate due to the low-level edition in the bitstream generation. In the module based design, the system is split in to several modules. The configuration bitstream is generated for

4 80 each module. Some of these modules may be reconfigurable and others fixed. In this work the modular based design is adopted and its design flow is given in Fig [133]. In the partial reconfiguration flow, the modules are routed bus macro. The macro is a hardwired macro which gives communication between the internal reconfigurable modules in the system. The need for DRH in PECs is that it is possible to have two or more PWM controllers, other control algorithms and change the control functions among them without shutting down the FPGA in the system. The advantages in PECs are the single chip implementation of PWM control schemes with reduced resource utilization by sharing the common modules and also the concept can be extended to motor control schemes such as vector control, direct torque control (DTC) and so on. The DRH provides the flexibility of configuring a part of the controller when the rest of the control section is in operation. The DRH can be used in the applications such as PEC control, motor control, position control, robotics and spacecrafts Dynamic Partial Reconfiguration (DPR) using Spartan-3 FPGA In this work, the low cost Spartan-3 FPGA is used to implement the PWM control, therefore, the dynamic partial reconfiguration features in Spartan-3 FPGA is discussed. FPGAs provide an array of logic cells that can be configured to perform a given function by means of a configuration bit stream. In the Xilinx s Virtex and Spartan FPGA

5 81 families (Spartan II to Spartan IV), the module based and difference based flow are used to perform dynamic partial reconfiguration (DPR) [ , 132]. In general, DPR consists of two functional areas which are fixed/static parts and dynamic parts. The dynamic parts are independent parts of the input design that need not be active during the whole application run time. Bus macros are used as fixed data paths for signal communication between the reconfigurable module and other modules. Xilinx provides the Bus Macros and also it can be user developed. In this work, internal Block RAM of FPGA is used to store the generated bit streams through Parallel Configuration Access Port (PCAP) within the FPGA instead of using an embedded processor. Spartan-3 FPGAs support some of the dynamic partial reconfiguration capabilities, but has some limitations compared to Virtex devices. Till now, the lack of ICAP module on pure Spartan-3 FPGAs makes the DPR impossible without using any other additional external devices. Therefore, a portable soft PCAP core is developed using VHDL within the target FPGA, which controls the partial reconfiguration flow through SelectMAP port and supplies configuration clock for reconfiguration. As a result, using the PCAP reduces hardware cost and power consumption of a self reconfigurable system. Partial reconfiguration is possible through either serial JTAG interface or parallel slave SelectMAP mode. Since parallel

6 82 slave SelectMAP interface has higher performance than the serial JTAG interface, the SelectMAP port is used in this study [108, 132]. The most significant disadvantage of dynamic reconfigurability is the additional complexity in the design cycle and this will probably change in near future. Creating a partial reconfiguration design requires following design flow [ ]: Design Entry - Development and synthesize HDL code as per partial reconfiguration guidelines. Initial budgeting - Design the floorplan, constrain the logic, and create timing constraints for the top-level design and each module. Run active implementation (NGDBUILD, MAP, PAR,) for each reconfigurable module and each configuration of a particular reconfigurable module. Assembly Phase Implementation: Minimum - Full design (initial power-up configuration). Recommended - Every possible combination of device configurations of fixed and reconfigurable modules for simulation and/or verification Verify design (static timing analysis, functional simulation). Visually inspect design using FPGA Editor to ensure no unexpected routing crosses module boundaries. Though the software enforces this rule, it is still important to manually check this result.

7 83 Create bitstream for full design (initial power-up configuration). Create individual (or partial) bitstreams for each reconfigurable module. Download the device with initial power-up configuration. Reprogram reconfigurable modules as needed with individual (or partial) bitstreams FPGA Implementation of VLSI Architecture for Dynamic Partially Reconfigurable PWM (DPRPWM) Controller The dynamically reconfigurable hardware architecture consisting of SPWM and SVPWM shown in Fig is developed using VHDL [124125] and it has three layers which are application domain, platform and circuit implementation. In application domain, the techniques of SPWM and SVPWM are incorporated, and this layer addresses the applications to be configured during run time. The platform layer consists of the internal modules of the modulation schemes like transformations, waveform generation, comparator, clock divider, switching pattern generation and dead time insertion. An additional modulator can also be incorporated in the existing design in which the existing modules need to be configured. The circuit implementation layer is developed and used for the basic circuit realizations [88].

8 Dynamic part SPWM SVPWM Transformation Sine wave generator Cosine Comparator Sector detection Triangle wave generator Switch pattern generator Clock divider Duty calculator atan Sine Dead time inserter Dynamic part Platform Application Domain 84 qadd qsqrt qmult qsub qmod qsqr qdivide Fig Dynamic partially reconfigurable PWM controller Architecture Static part Circuit Implementation Arithmetic circuits required to formulate the duty calculator function

9 85 The reconfiguration connections of SPWM and SVPWM are shown by line in Fig and is implemented using Xilinx Spartan FPGA having 400 K gate density and 100 MHz clock. In this design, resource sharing is the main reconfiguration concept in of SPWM circuit implementation layer. or SVPWM, the application During forms the interconnection of the internal modules in platform layer and, further the internal modules of platform domain realize the circuits available in circuit implementation layer Description of the DPRPWM control Design The functional parts are classified as static and dynamic to demonstrate the dynamic partial reconfigurable (DPR) concepts in modulators. The static part comprises of the basic circuit implementations for different arithmetic operations such as addition, subtraction, multiplication, division, squaring and square root which are realized using fixed point realizations, i.e. Q-Format realizations [28-32, 95, 107]. Dynamic part consists of two levels of dynamic partial reconfigurable modules. First part is functional level DPR module which consists of the internal functional modules of SPWM and SVPWM. The major functional units are transformations, wave generation (sine and triangle), trigonometric functional units (atan, sine and cosine), comparator, sector detection, clock divider, duty calculator and PWM pattern generator. Second dynamic part is an application level to activate either SPWM or

10 86 SVPWM. The bit streams for the DPR modules are stored in BlockRAM of FPGA. The bit stream generation process consists of generating bit streams for all individual functional units and for application units. In some DPR application, external memory is employed to store the generated bit streams using an external controller or processor for accessing and controlling the bit streams Reconfiguration of DPRPWM Control When the SPWM is configured by bit streams, the components in the platform are configured as shown Fig 5.3. The digital implementation structure of SPWM is described in Fig. 5.4 and the internal modules of SVPWM are shown in shown in Fig The corresponding SVPWM modules are reconfigured when its bit stream is loaded. According to the performance requirement, either SPWM or SVPWM will be reconfigured automatically using PCAP controller. In the automatic environment, for example, a low harmonic performance requirement, the control signal in PCAP enables the reconfiguration of application level as SVPWM and thereby enables the corresponding functional units to realize the SVPWM in the platform domain. For realizing SVPWM, the bit streams are transferred from BlockRAM to dynamic part and functional units such as transform for converting the three phase parameters to two phase parameters, sector detector for detecting the sectors using the phase angle and magnitude parameter from transform unit are included. The duty calculator calculates the different duty periods using required

11 87 parameters from sector detection and trigonometric unit. The pattern generation unit generates the switch patterns using the duty periods, the dead time insertion unit inserts the dead/dwell time in the generated switch/pwm patterns. Fig Shows the functional flow chart of DPRPWM controller. clk Reset s1 Sine wave generator s3 Comparator and dead time inserter Triangle wave generator s5 s4 s6 s2 Fig Digital implementation of SPWM Sector detection Va Vb Vc 3-2 co-ordinate converter Vdc Sin/cos PWM Generation and Dead Time inserter Duty calculator Ts Td Fig Digital implementation of SVPWM P1 P3 P5 P4 P6 P2

12 88 Start Read the bit streams Is SPWM is selected Yes No Load the bit streams for SPPWM modules Load the bit streams for SVPWM modules Modules for SPWM are configured Modules for SVPWM are configured Check for new bit streams Fig Functional flow chart of DPRPWM controller Internal modules of SPWM and SVPWM Since most of the modules for PEC control are basic arithmetic computations, comparator, sine wave generations and its development is presented. The internal modules of SPWM and SVPWM and their implementations are presented in Chapter 3 and 4 respectively.

13 Reconfiguration Time Calculation The main performance of dynamic reconfigurable architectures is reconfiguration time. The time taken by full and partial reconfiguration in Spartan 3 FPGA is given as: Tfull-config=Sconfig/Frecon (5.1) where, Sconfig is the total configuration size in bytes of the FPGA and Frecon is the reconfiguration frequency in bytes per second. Tpart-config=Qcolumns * Sconfig/Frecon (5.2) where Sconfig in this case is determined by Sconfig= Nframes * Llength The time taken by full and partial reconfiguration in Spartan 3 FPGA is calculated using the equations (5. 1) and (5. 2) In this implementation, the DPR is used in FPGA, particularly XC3S400 FPGA having 767 frames and each frame has 2208 bits, 3584 slices, in an array of 32 rows by 28 columns [ , ]. On average, 1 CLB column=3584/28=128 slices. The design takes approximately 734 slices for SVPWM and 737 slices for SPWM, therefore, a minimum of (734/128=6, 737/128=6) 6 columns are required. The required buffer space needed is calculated as follows: For partial reconfiguration, Sconfig-partial = 6 * 2208= bytes. For full reconfiguration, Sconfig-full = 28 * 2208= bytes. The partial configuration time for one column is, (13212 /50 MHz) = ms. Therefore, the partial configuration time for 6 columns is,

14 90 Tpart-config = 6 * = ms. The full reconfiguration time for one column is, (61824 /50 MHz) = ms. The full reconfiguration time is, Tfull-config=Sconfig/Frecon = (28*61824)/50MHz= ms. From the analysis, for a 50 MHz clock, it is noted that there is a significant decrease in the time taken for partial reconfiguration ( ms i.e. 4.57% of full reconfiguration time) when compared to full reconfiguration time ( ms). The Tpart-config will be still less for increased frequency. Therefore, for fault tolerant control applications, the frequency should be high to reduce the Trecon Implementation of the DPRPWM Controller This section presents the design and development of DPRPWM controller for three phase VSI in a single Xilinx Spartan 3 XCS400PQ208 FPGA. The DPRPWM controller is designed such that it switches over between the popular pulse width modulation techniques like SPWM and SVPWM. FPGA platform supports the run-time reconfiguration of control functions and algorithms directly in hardware and meets hard real-time performance criteria in terms of timings for PWM generation as well as reconfiguration. The DPRPWM control is simulated and experimentally verified using a low cost Xilinx Spartan-3 FPGA. The results of SPWM and SVPWM controller are presented.

15 Simulation Results The individual SPWM and SVPWM controllers are designed and simulated using ModelSim and Xilinx simulators. The DRH PWM control is implemented using Xilinx PlanAhead available in Xilinx ISE 11.1i Simulation Results of Individual SPWM and SVPWM Modulators The SPWM and SVPWM modulators are synthesized using Xilinx 11.1i. The implementation report of the designed SPWM and SVPWM modulators are given in Table 3.1 and Table 4. 1 respectively. The ModelSim 5.7 and Xilinx simulator has been used for simulation of the SPWM and SVPWM modulator with different fs and fo. In DRH implementation of PWM for combined SPWM and SVPWM control, Xilinx PlanAhead is used [ ]. Fig Three phase SPWM wave forms: f0 = 50 Hz and fs = khz. The SPWM waveform with fs of khz and fo of 50 Hz is shown in Fig The resource utilization of individual modules of SPWM and

16 92 SVPWM are given in Fig and Fig respectively. The SVPWM waves with fs of 20 khz, fo of 50 Hz is shown in Fig No. of 4 input LUTs No.of Slices Sin Generato r Tri generato r Clo ck divide QA LU P WM dead time Fig Resource utilization of individual modules in SPWM No. of 4 input LUTs No.of Slices /2 transfo rm Secto r find Switch pattern QA LU Duty calculato r Fig Resource utilization of individual modules in SVPWM Fig SVPWM waveforms in 6 sector: fo = 50 Hz and fs = 20 khz

17 Simulation Results of DPRPWM control The first step is the development and synthesis of the internal modules of the SPWM and SVPWM controllers as static part of the system. The DPR design flow is discussed in Section 5.1. The modular based DPR design is implemented using Xilinx PlanAhead [ ]. The simulation results of SVPWM reconfiguration is shown in Fig and Fig In simulation, the reconfiguration time is 2 ns when 100 MHz system clock is used and reconfiguration time depends on the clock frequency. The DPR PWM can be extended to the fault tolerant control systems. The schematic of the DRH PWM design is shown in Fig to Fig and the floorplan is shown in Fig Reconfiguration to SVPWM Fig Simulation result of DPRPWM controller

18 94 Reconfiguration to SVPWM Fig Simulation result of DPRPWM controller (Expanded scale) Fig Schematic of DRH PWM controller with bus macro

19 95 Fig Schematic of DRH PWM controller with PWM output signal part Fig Schematic of DRH PWM controller showing the internal logics

20 96 Fig Floorplan of DRHPWM controller Experimental Results The DPR PWM control has been implemented in Xilinx- Spartan 3 XCS400PQ208 FPGA. The DRH for PWM control for three phase VSIs using the FPGA is shown Fig and the experimental setup consists of FPGA, driver, three phase VSI and an induction motor load (0.18kW, 415V, 50 Hz and 0.75kW, 415V, 50 Hz). The experimentation is carried out with the initial setting of SPWM in light load with reduced motor voltage of 150 Volts line to line. The results of line to line voltage, voltage harmonics and current for the different frequencies are verified. The SPWM signals, the inverter output voltage (line to line), voltage harmonic

21 97 spectrum and line current are shown in Fig to Fig respectively. FPGA Induction motor Power module Speed sensor Current sensor Driver circuit Fig Experimental setup of FPGA based DRHPWM controller fed induction motor drive The PWM output waveform is verified in the experiment with different fs up to 15 khz. The THD is measured using Fluke power quality analyzer. The voltage waveform has a THD of 16.6% when the fo is 30 Hz and the fs is khz and the THD is reduced when the fs as well as the fundamental is increased. The result shows the practical feasibility of SPWM using DPR hardware in real time. The SVPWM is dynamically reconfigured and tested for different fs, fo and modulation index. The line voltages, voltage harmonic spectrum for different fs, line current are shown in Fig to Fig respectively. The Phase voltage and current wave form with PF angle in the 5.5 kw, 415V, 50 Hz, Three phase induction motor load is shown in Fig and Fig

22 98 The logic utilization is shared in the dynamic reconfigurable PWM control scheme. The logic utilized in SPWM is 737 slices and in SVPWM it is 734. These two modulators are implemented for dynamic reconfiguration between them, therefore, the logic utilization is improved by sharing the commonly modules in the design as described in Section 5.3. The time taken in reconfiguration is evaluated for partial as well as for full reconfiguration and partial reconfiguration took less time compared with full reconfiguration. The simulation results provide the details of the different operating condition such as fs, fo and modulation index of the modulator and are verified in the experiments. The experimental results for different modulator setting such as fs, fo, modulation index, DC bus voltage are verified and the results are acceptable to a practical applications. The line current in SPWM has high frequency harmonics when fo is 50 Hz and fs is khz. In SVPWM, the voltage harmonics are with in the limit when the f o is around rated values and fs is above 10 khz. These harmonics can be reduced by increasing the word length in the signal processing (16 bits) and incorporating a suitable dead time control algorithm. The main aim of these experiments is to validate the practical possibility of the DPR control for PWM in real time. The conventional implementation should be implemented in two individual FPGA or by reprogramming the device. In this work, two PWM modulators are loaded in a single FPGA and they are dynamically configured by bit streams. From the simulation and

23 99 experimental results, the DPR PWM control is verified with different operating conditions such as fs, fo and modulation index with induction motor load. The measured speed is given in Table 5.1. Moreover, DPR is implemented in a low cost Spartan-3 FPGA and reduces the reconfiguration time compared to full reconfiguration. Table Speed of Induction motor drives for different f0 S.No Fundamental Frequency, fo (Hz) Calculated synchronous speed for 4 pole (RPM) Measured speed (RPM) 0.18 kw, 415V, 3 phase No load Measured speed (RPM) 0.75 kw, 415V, 3 phase No load Half full load Pulse P1 Pulse P5 Fig SPWM wave form in P1 and P4: fs=1.157 khz

24 100 V / div ms / div Fig Inverter output voltage, U R-Y and U Y-B Line to line voltage: f0 = 30 Hz, fs =10.42 khz, M=0.65 Fig Voltage THD: f0=30 Hz, fs = khz, and M=0.65. Fig Line current waveforms: f0=50 Hz, fs = khz, and M=0.65

25 V / div Displacement between two voltage waves 20 ms / div Fig Inverter output voltage, U R-Y and U Y-B Line to line voltage: f0 = 50 Hz, fs =20 khz, and M=0.8 Fig Voltage THD: f0 = 30 Hz, fs =2 khz, and M=0.8 Fig Voltage harmonic spectrum: f0 = 40 Hz, fs =12.28kHz, and M=0.8.

26 102 Line current 1.8 A rms Fig Three phase current waveforms: f0 = 40 Hz, fs =12.28 khz, and M=0.8 Φ- PF angle Voltage wave form Current wave form Fig R-Phase Voltage and Current wave at fo = Hz, fs = khz

27 103 Φ- PF angle Fig B-Phase Voltage and Current wave at fo = Hz, fs = khz 5.5. Discussions The conventional FPGA implementation, one PWM control can be implemented [64-80]. In this work, two PWM modulators are loaded in a single FPGA and they are dynamically configured by bit streams. From the simulation and experimental results, the DPRPWM control is verified with different operating conditions such as fs, fo and modulation index. Moreover, DPRPWM control is implemented in a low cost Spartan FPGA and reduces the reconfiguration time compared to full reconfiguration. From the SPWM, SVPWM and DPRPWM developed, the comparison of performance measures of Q-Format based SPWM, SVPWM and DPRPWM are given in Table 4.4. The advantages of QALU based PWM controllers consumes less FPGA resources compared to Integer fixed point based

28 104 PWM controllers. Also, a Q-format based PWM controller provides the single chip implementation, which eliminates the host processor. From the comparison shown in Table 5. 2, it is validated that QALU based PWM controller reduces the FPGA resources and also provides the single chip solutions. Table Comparison of performance of different PWM controller implementations S.N0 Performance Details 8 bit SPWM 8 bit SVPWM 8 bit DPRPWM Conventional SVPWM [89] 1 Device utilization : No. of slices taken by the design Possibility of single chip implementation Possible using QALU Possible using QALU Possible by DPRPWM Not Possible by conventional design 5.6. Conclusion The concept of dynamic reconfiguration has been applied to the inverter control with the PWM schemes of SPWM and SVPWM. The possibility of the practical implementation of DPR for PEC control is experimentally verified with a low cost FPGA from Xilinx. The partial reconfiguration time taken in the design is ( ms i.e. 4.57% of full reconfiguration time) which less than that of full reconfiguration. The DPR is well suitable for power electronic control compared to full reconfiguration. The capability of DRH is limited to support not only

29 105 these two techniques, but it can also adopt all major PWM techniques, vector control of AC drives and fault tolerant control by storing all the PWM schemes in the configuration RAM. Also the design can be extended for multilevel and multi phase modulators. This concept has been extensively used in computer vision applications and is to be exploited more for industrial control and power electronic converter and motor control applications.

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS 17 Chapter 2 REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS In this chapter, analysis of FPGA resource utilization using QALU, and is compared with

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER 65 CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER 4.1 INTRODUCTION Many control strategies are available for the control of IMs. The Direct Torque Control (DTC) is one of the most

More information

Design and synthesis of FPGA for speed control of induction motor

Design and synthesis of FPGA for speed control of induction motor International Journal of Physical Sciences ol. 4 (11), pp. 645-650, November, 2009 Available online at http://www.academicjournals.org/ijps ISSN 1992-1950 2009 Academic Journals Full Length Research Paper

More information

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 8 CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 6.1 INTRODUCTION In this part of research, a proto type model of FPGA based nine level cascaded inverter has been fabricated to improve

More information

1790 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 26, NO. 6, JUNE 2011

1790 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 26, NO. 6, JUNE 2011 1790 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 26, NO. 6, JUNE 2011 Dynamically Reconfigurable PWM Controller for Three-Phase Voltage-Source Inverters R. K. Pongiannan, Member, IEEE, S. Paramasivam,

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL 47 CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL 4.1 INTRODUCTION Passive filters are used to minimize the harmonic components present in the stator voltage and current of the BLDC motor. Based on the design,

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

CHAPTER 4 A NEW CARRIER BASED PULSE WIDTH MODULATION STRATEGY FOR VSI

CHAPTER 4 A NEW CARRIER BASED PULSE WIDTH MODULATION STRATEGY FOR VSI 52 CHAPTER 4 A NEW CARRIER BASED PULSE WIDTH MODULATION STRATEGY FOR VSI 4.1 INTRODUCTION The present day applications demand ac power with adjustable amplitude and frequency. A well defined mode of operation

More information

FPGA IMPLEMENTATION OF SAMPLED SPACE VECTOR PULSE WIDTH MODULATION TECHNIQUE FOR TWO LEVEL INVERTER S. NAGESWARI 1 Dr.V.

FPGA IMPLEMENTATION OF SAMPLED SPACE VECTOR PULSE WIDTH MODULATION TECHNIQUE FOR TWO LEVEL INVERTER S. NAGESWARI 1 Dr.V. FPGA IMPLEMENTATION OF SAMPLED SPACE VECTOR PULSE WIDTH MODULATION TECHNIQUE FOR TWO LEVEL INVERTER S. NAGESWARI 1 Dr.V.SURESH KUMAR 2 1 Department of Electrical & Electronics Engg., A.C College of Engineering

More information

CHAPTER 2 VSI FED INDUCTION MOTOR DRIVE

CHAPTER 2 VSI FED INDUCTION MOTOR DRIVE CHAPTER 2 VI FE INUCTION MOTOR RIVE 2.1 INTROUCTION C motors have been used during the last century in industries for variable speed applications, because its flux and torque can be controlled easily by

More information

Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive

Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive ISSN 1 746-72, England, UK World Journal of Modelling and Simulation Vol. 9 (201) No. 2, pp. 8-88 Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive Nalin Kant

More information

CHAPTER 5 IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER AND HARDWARE RESULTS

CHAPTER 5 IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER AND HARDWARE RESULTS 102 CHAPTER 5 IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER AND HARDWARE RESULTS 5.1 INTRODUCTION In the last decade the study on the multilevel inverters has becoming the emerging research

More information

Managing dynamic reconfiguration on MIMO Decoder

Managing dynamic reconfiguration on MIMO Decoder Managing dynamic reconfiguration on MIMO Decoder Hongzhi Wang, Jean-Philippe Delahaye, Pierre Leray and Jacques Palicot IETR/Supelec Campus de Rennes Av. de la Boulais, CS 47601 35576 CESSON-SEVIGNE, France

More information

CHAPTER 3 A COMPARISON OF MULTILEVEL INVERTER USING IN 3-PHASE INDUCTION MOTOR

CHAPTER 3 A COMPARISON OF MULTILEVEL INVERTER USING IN 3-PHASE INDUCTION MOTOR 44 CHAPTER 3 A COMPARION OF MULTILEVEL INVERTER UING IN 3-PHAE INDUCTION MOTOR 3.1 Introduction Now a days the use of multi-level inverters are increasing day to day life and they playing a vital role

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

AN AT89C52 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS

AN AT89C52 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS IIUM Engineering Journal, Vol. 6, No., 5 AN AT89C5 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS K. M. RAHMAN AND S. J. M. IDRUS Department of Mechatronics Engineering

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

CHAPTER 3 SINGLE SOURCE MULTILEVEL INVERTER

CHAPTER 3 SINGLE SOURCE MULTILEVEL INVERTER 42 CHAPTER 3 SINGLE SOURCE MULTILEVEL INVERTER 3.1 INTRODUCTION The concept of multilevel inverter control has opened a new avenue that induction motors can be controlled to achieve dynamic performance

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

Computer Architecture Laboratory

Computer Architecture Laboratory 304-487 Computer rchitecture Laboratory ssignment #2: Harmonic Frequency ynthesizer and FK Modulator Introduction In this assignment, you are going to implement two designs in VHDL. The first design involves

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION Muzakkir Mas ud Adamu Depertment of Computer Engineering, Hussaini Adamu Federal Polytechnic Kazaure, Jigawa State Nigeria.

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Joakim Arnsby, et04ja@student.lth.se Joakim Baltsén, et05jb4@student.lth.se Simon Nilsson, et05sn9@student.lth.se Erik Osvaldsson,

More information

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

Hardware Implementation of BCH Error-Correcting Codes on a FPGA Hardware Implementation of BCH Error-Correcting Codes on a FPGA Laurenţiu Mihai Ionescu Constantin Anton Ion Tutănescu University of Piteşti University of Piteşti University of Piteşti Alin Mazăre University

More information

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 2011 OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Raúl Torrego (Communications department:

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 113 CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 5.1 INTRODUCTION This chapter describes hardware design and implementation of direct torque controlled induction motor drive with

More information

An FPGA Based Control Algorithm for Cascaded Multilevel Inverters

An FPGA Based Control Algorithm for Cascaded Multilevel Inverters An FPGA Based Control Algorithm for Cascaded Multilevel Inverters V.Kumar Chinnaiyan, Dr.Jovitha Jerome and J.Karpagam, Member IEEE Abstract In recent years, thanks to the various developments in VLSI,

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

Nicolò Antonante Kristian Bergaplass Mumba Collins

Nicolò Antonante Kristian Bergaplass Mumba Collins Norwegian University of Science and Technology TET4190 Power Electronics for Renewable Energy Mini-project 19 Power Electronics in Motor Drive Application Nicolò Antonante Kristian Bergaplass Mumba Collins

More information

DDC_DEC. Digital Down Converter with configurable Decimation Filter Rev Block Diagram. Key Design Features. Applications. Generic Parameters

DDC_DEC. Digital Down Converter with configurable Decimation Filter Rev Block Diagram. Key Design Features. Applications. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL Core 16-bit signed input/output samples 1 Digital oscillator with > 100 db SFDR Digital oscillator phase resolution of 2π/2

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 74 CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 4.1 LABORATARY SETUP OF STATCOM The laboratory setup of the STATCOM consists of the following hardware components: Three phase auto transformer used as a 3

More information

CHAPTER 6 ANALYSIS OF THREE PHASE HYBRID SCHEME WITH VIENNA RECTIFIER USING PV ARRAY AND WIND DRIVEN INDUCTION GENERATORS

CHAPTER 6 ANALYSIS OF THREE PHASE HYBRID SCHEME WITH VIENNA RECTIFIER USING PV ARRAY AND WIND DRIVEN INDUCTION GENERATORS 73 CHAPTER 6 ANALYSIS OF THREE PHASE HYBRID SCHEME WITH VIENNA RECTIFIER USING PV ARRAY AND WIND DRIVEN INDUCTION GENERATORS 6.1 INTRODUCTION Hybrid distributed generators are gaining prominence over the

More information

Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter

Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter American Journal of Applied Sciences 6 (9): 1742-1747, 2009 ISSN 1546-9239 2009 Science Publications Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter N.A.

More information

CHAPTER 4 DDS USING HWP CORDIC ALGORITHM

CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 90 CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 4.1 INTRODUCTION Conventional DDFS implementations have disadvantages in area and power (Song and Kim 2004b). The conventional implementation of DDS is a brute-force

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

VHDL Implementation Of PWM Technique For Generation Of Switching Pulses

VHDL Implementation Of PWM Technique For Generation Of Switching Pulses VHDL Implementation Of PWM Technique For Generation Of Switching Pulses Veena Walimbe PG Student N. R. Bhasme Associate Professor Department of Electrical Engineering, Government College of Engineering,

More information

Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS

Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS 2.1 Introduction The PEBBs are fundamental building cells, integrating state-of-the-art techniques for large scale power electronics systems. Conventional

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

Implementation Of Bl-Luo Converter Using FPGA

Implementation Of Bl-Luo Converter Using FPGA Implementation Of Bl-Luo Converter Using FPGA Archa.V. S PG Scholar, Dept of EEE, Mar Baselios College of Engineering and Technology, Trivandrum Asst. Prof. C. Sojy Rajan Assistant Professor, Dept of EEE,

More information

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Verification of a novel calorimeter concept for studies of charmonium states Guliyev, Elmaddin

Verification of a novel calorimeter concept for studies of charmonium states Guliyev, Elmaddin University of Groningen Verification of a novel calorimeter concept for studies of charmonium states Guliyev, Elmaddin IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF)

More information

Design of FIR Filter on FPGAs using IP cores

Design of FIR Filter on FPGAs using IP cores Design of FIR Filter on FPGAs using IP cores Apurva Singh Chauhan 1, Vipul Soni 2 1,2 Assistant Professor, Electronics & Communication Engineering Department JECRC UDML College of Engineering, JECRC Foundation,

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Evolvable Hardware in Xilinx Spartan-3 FPGA

Evolvable Hardware in Xilinx Spartan-3 FPGA 5 WSEAS Int. Conf. on YNAMICAL SYSTEMS and CONTROL, Venice, Italy, November -4, 5 (pp66-7) Evolvable Hardware in Xilinx Spartan-3 FPGA RUSTEM POPA, OREL AIORĂCHIOAIE, GABRIEL SÎRBU epartment of Electronics

More information

Online Monitoring for Automotive Sub-systems Using

Online Monitoring for Automotive Sub-systems Using Online Monitoring for Automotive Sub-systems Using 1149.4 C. Jeffrey, A. Lechner & A. Richardson Centre for Microsystems Engineering, Lancaster University, Lancaster, LA1 4YR, UK 1 Abstract This paper

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS

DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS Alison K. Brown (NAVSYS Corporation, Colorado Springs, Colorado, USA, abrown@navsys.com); Nigel Thompson (NAVSYS Corporation, Colorado

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

FINITE IMPULSE RESPONSE (FIR) FILTER

FINITE IMPULSE RESPONSE (FIR) FILTER CHAPTER 3 FINITE IMPULSE RESPONSE (FIR) FILTER 3.1 Introduction Digital filtering is executed in two ways, utilizing either FIR (Finite Impulse Response) or IIR (Infinite Impulse Response) Filters (MathWorks

More information

Xilinx Implementation of Pulse Width Modulation Generation using FPGA

Xilinx Implementation of Pulse Width Modulation Generation using FPGA Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 411-415 Xilinx Implementation of Pulse Width Modulation Generation using FPGA Rahul Patel

More information

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Mohaimina Begum Md. Abdullah Al Mamun Md. Atiar Rahman Sabiha Sattar Abstract- Nuclear radiation counting system is used

More information

The Optimal Implementation of a Generator of Sinusoid

The Optimal Implementation of a Generator of Sinusoid American Journal of Applied Sciences Original Research Paper The Optimal Implementation of a Generator of Sinusoid Souhila Boudjema and Kaddour Saouchi Department of Electronics, Faculty of Engineering,

More information

FIELD PROGRAMMABLE GATE ARRAY BASED THREE-PHASE CASCADED MULTILEVEL VOLTAGE SOURCE INVERTER

FIELD PROGRAMMABLE GATE ARRAY BASED THREE-PHASE CASCADED MULTILEVEL VOLTAGE SOURCE INVERTER FIELD PRGRAMMABLE GATE ARRAY BASED THREE-PHASE CASCADED MULTILEVEL VLTAGE SURCE IVERTER P KARUPPAA Dept of Electronics and Communication Engineering, Motilal ehru ational Institute Technology, Allahabad

More information

Module 5. DC to AC Converters. Version 2 EE IIT, Kharagpur 1

Module 5. DC to AC Converters. Version 2 EE IIT, Kharagpur 1 Module 5 DC to AC Converters Version 2 EE IIT, Kharagpur 1 Lesson 37 Sine PWM and its Realization Version 2 EE IIT, Kharagpur 2 After completion of this lesson, the reader shall be able to: 1. Explain

More information

Core Facts. Documentation Design File Formats. Verification

Core Facts. Documentation Design File Formats. Verification logistep Stepper Motors Controller July 15, 2009 Product Specification Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: info@logicbricks.com

More information

Pulse width modulated (PWM) inverters are mostly used power electronic circuits in

Pulse width modulated (PWM) inverters are mostly used power electronic circuits in 2.1 Introduction Pulse width modulated (PWM) inverters are mostly used power electronic circuits in practical applications. These inverters are able to produce ac voltages of variable magnitude and frequency.

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

FPGA Implementation of Adaptive Noise Canceller

FPGA Implementation of Adaptive Noise Canceller Khalil: FPGA Implementation of Adaptive Noise Canceller FPGA Implementation of Adaptive Noise Canceller Rafid Ahmed Khalil Department of Mechatronics Engineering Aws Hazim saber Department of Electrical

More information

ARDUINO BASED SPWM THREE PHASE FULL BRIDGE INVERTER FOR VARIABLE SPEED DRIVE APPLICATION MUHAMAD AIMAN BIN MUHAMAD AZMI

ARDUINO BASED SPWM THREE PHASE FULL BRIDGE INVERTER FOR VARIABLE SPEED DRIVE APPLICATION MUHAMAD AIMAN BIN MUHAMAD AZMI ARDUINO BASED SPWM THREE PHASE FULL BRIDGE INVERTER FOR VARIABLE SPEED DRIVE APPLICATION MUHAMAD AIMAN BIN MUHAMAD AZMI MASTER OF ENGINEERING(ELECTRONICS) UNIVERSITI MALAYSIA PAHANG UNIVERSITI MALAYSIA

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Digital Logic ircuits Circuits Fundamentals I Fundamentals I Digital Logic Circuits Fundamentals I Fundamentals I 1 Digital and Analog Quantities Electronic circuits can be divided into two categories. Digital Electronics : deals with discrete values (= sampled

More information

DESIGN OF LOW POWER MULTIPLIERS

DESIGN OF LOW POWER MULTIPLIERS DESIGN OF LOW POWER MULTIPLIERS GowthamPavanaskar, RakeshKamath.R, Rashmi, Naveena Guided by: DivyeshDivakar AssistantProfessor EEE department Canaraengineering college, Mangalore Abstract:With advances

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL Core N-channel FIR filter core implemented as a systolic array for speed and scalability Support for one or more independent

More information

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Journal of ELECTRICAL ENGINEERING, VOL. 60, NO. 1, 2009, 43 47 THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Rastislav Róka For the exploitation of PLC modems, it is necessary to

More information

Implementation of Huffman Decoder on Fpga

Implementation of Huffman Decoder on Fpga RESEARCH ARTICLE OPEN ACCESS Implementation of Huffman Decoder on Fpga Safia Amir Dahri 1, Dr Abdul Fattah Chandio 2, Nawaz Ali Zardari 3 Department of Telecommunication Engineering, QUEST NawabShah, Pakistan

More information

Closed Loop Control of Three-Phase Induction Motor using Xilinx

Closed Loop Control of Three-Phase Induction Motor using Xilinx Closed Loop Control of Three-Phase Induction Motor using Xilinx Manoj Hirani, M.Tech, Electrical Drives branch of Electrical Engineering, Dr. Sushma Gupta, Department of Electrical Engineering, Dr. D.

More information

crio Resolver Simulation crio RVDT Simulation Manual V3.0

crio Resolver Simulation crio RVDT Simulation Manual V3.0 crio Resolver Simulation crio RVDT Simulation Manual V3.0 Page 1 / 25 Content 1. General... 3 1.1 Revision history... 3 1.2 Abbreviations... 3 1.3 Purpose... 3 1.4 Annexes... 4 1.5 List of tables... 4

More information

A COMPARISON ANALYSIS OF PWM CIRCUIT WITH ARDUINO AND FPGA

A COMPARISON ANALYSIS OF PWM CIRCUIT WITH ARDUINO AND FPGA A COMPARISON ANALYSIS OF PWM CIRCUIT WITH ARDUINO AND FPGA A. Zemmouri 1, R. Elgouri 1, 2, Mohammed Alareqi 1, 3, H. Dahou 1, M. Benbrahim 1, 2 and L. Hlou 1 1 Laboratory of Electrical Engineering and

More information