CHAPTER 4 DDS USING HWP CORDIC ALGORITHM

Size: px
Start display at page:

Download "CHAPTER 4 DDS USING HWP CORDIC ALGORITHM"

Transcription

1 90 CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 4.1 INTRODUCTION Conventional DDFS implementations have disadvantages in area and power (Song and Kim 2004b). The conventional implementation of DDS is a brute-force approach using a lookup table (Nicholas 1988). In this approach, the lookup table size grows exponentially as more bits are used to represent the sine and cosine waveforms, although a memory compression method applied to reduce the size of the lookup table. In 1959Volder described the Coordinate Rotation Digital Computer or CORDIC for the calculation of trigonometric functions, multiplication, division and conversion between binary and mixed radix number systems. A pure computational algorithm such as CORDIC has been proposed to replace the large-sized lookup table. Several algorithms are proposed for calculation of sine and cosine function. The digit-by-digit methods for the computation of the elementary functions such as trigonometric, inverse trigonometric, logarithm, exponential, multiplication, and division functions described by Henry Briggs in 1624 in Arithmetica Logarithmica (Metafas and Goutis 1990). The programmable CORDIC chip for DSP applications were proposed by Timmermann et al (1991). These are iterative pseudo division

2 91 and pseudo multiplication processes, which resemble repeated-addition multiplication and repeated-subtraction division. In 2000, Volder had proposed a special purpose digital computing unit known as CORDIC, while building a real time navigational computer for use in an aircraft. This algorithm was initially developed for trigonometric functions which were expressed in terms of basic plane rotations. The CORDIC algorithm computes 2D rotation using iterative equations employing shift and add operations. Walther (1971) proposed a unified algorithm to compute rotation in circular, linear, and hyperbolic coordinate systems using the CORDIC algorithm. The CORDIC algorithm developed by using an unified approach was proposed which is used in many applications (Walther2000). The DDS architecture based on the differential CORDIC (DCORDIC) algorithm has presented by Kang et al (2006). The digit-level pipelining in the CORDIC angle path implemented a two-dimensional systolic array. More recently, the advances in the VLSI technology and the advent of EDA tools have extended the application of CORDIC algorithm to the field of software defined radio, MIMO systems (Wang et al 2006) and neural networks (Meyer-Base et al 2003) etc. Optimized DDFS for complex demodulation using CORDIC was discussed by Jridi (2009). DDFS with 8Hz tuning frequency resolution and 20 bits output data (for sine and cosine waves) implemented in Xilinx FPGA device giving a maximum operating frequency of more than 306 MHz and a SFDR of 112dBc.

3 92 Sung et al (2009) had reported Hybrid CORDIC algorithm for design and implementation of the DDFS. The multiplier-less architecture with small ROM and pipelined data path provides a spurious free dynamic range of 84.4dBc. Later on, Eugene (1998) had proposed DDFS based on the Modified Coordinate Rotation (CORDIC) algorithm. A second order parabolic approximation for sine function has been proposed by Sodagar (2001) which is so close to the sine function that satisfies the accuracy requirements for sine computation in sine-output DDS. The ROMless Parabolic DDS was designed with a 32-bits phase accumulator whose output was truncated to 12 bits and the synthesizer had a 10-bit output. The maximum operating frequency of this method was 175MHz, frequency resolution was Hz and maximum harmonic level was found to be 64dBc. The CORDIC is an iterative approximation method, which can be implemented without a lookup table but generates higher spurious harmonic tones. In order to reduce the spurious tones, a significant number of iterations and high resolution of the data path are required. Using a computational algorithm and a lookup table in a combined approach can offer better optimization in hardware complexity and speed (Torosyan et al 2002). Further reduction of the lookup table size is desired as the implementation cost of a digital communication system becomes more important. Antelo et al (2008) proposed the unfolded and pipelined CORDIC by using linear approximation. The linear approximation schemes for rotation

4 93 (multiplication) and vectoring (division) were complicated the implementation in a single unit. This design demands on high resources on FPGA with more power. Although to minimize the delay, the scale factor compensation technique was combined with linear approximation. Even though, the pipelined CORDIC used more number of registers to improve the throughput. Later, Jun Ma et al (2000) developed the CORDIC-based IIR digital filters based on fast orthogonal micro rotations for the realization. Each orthogonal section realizes one real zero or a pair of complex conjugate zeros of the transfer function. The CORDIC based IIR filter implementation leads low sensitivity to finite word-length truncation in the filter stop band. The CORDIC algorithm is performing vector rotations by arbitrary angles using only shift and add operations. Volder s algorithm is derived from the general equations for a vector rotation. If a vector V with Coordinates (x, y) is rotated through an angle then a vector can be obtained with coordinates (x, y ) where x and y can be obtained using (x,y) and by the following method X= rcos, Y= rsin (4.1) V = = (4.2)

5 94 Figure 4.1 Rotation of a vector V by an angle As shown in Figure 4.1, a vector V(x,y) can be resolved in two parts along the x-axis and y-axis as rcos and rsin respectively. Figure 4.2 illustrates the rotation of a vector V= by the angle. Figure 4.2 Vector V with magnitude r and phase i.e x= r cos & y= r sin (4.3)

6 95 Similarly, from Figure 4.1 it can be seen that vector V and V can be resolved in to two parts. Let V has its magnitude and phase as r and respectively and V has its magnitude and phase as r and where V came in to picture after anticlockwise rotation of vector V by an angle. From Figure 4.1 it can be observed - = (4.4) = + (4.5) OX = x =r cos = r cos( ) = r (cos.cos -sin.sin ) = (rcos )cos -(rsin )sin (4.6) Using Figure 4.2 and equation 4.3 OX can be represented as OX =x =xcos ysin (4.7) Similarly, OY OY =y =ycos +xsin (4.8) Similarly, value for the vector V in the clockwise direction rotating the vector V by the angle and the equations obtain in this case becomes x = xcos + ysin (4.9) y = xsin ysin (4.10) The Equations (4.7) to (4.10) can be represented in the matrix form as = (4.11) The individual equations for x and y can be rewritten as:

7 96 x = xcos( ) ± ysin( ) (4.12) y = ycos( )±xsin( ) (4.13) Volder observed that by factoring out a cos from both the sides, resulting equation be in terms of the tangent of the angle, the angle of which to find sine and cosine. Then this equation can be rewritten as an iterative formula. x = cos (x ± ytan( ) (4.14) y = cos (y±xtan( ) (4.15) z =z ±, here is the angle of rotation (± sign is showing the direction of rotation) and z is the argument. For the ease of calculation here only rotation anticlockwise direction is observed first. Rearranging the Equation (4.7) and (4.8) x = cos( ) ((x-ytan( )) (4.16) y =cos( )(y+xtan( )) (4.17) The multiplication by the tangent can be avoided in the rotation angles and therefore tan( ) is restricted so that tan( ) = 2 -i. In the digital hardware this denotes a simple shift operation. Furthermore, if those rotations are performed iteratively and in both directions every value of tan( ) is representable. With = arctan(2 -i ) the cosine term could also be simplified and since cos ( ) = cos(- ). It is a constant for a fixed number of iterations.

8 97 This iterative rotation can be expressed as: X i+1 = k i (x i -y i.d i.2 -i ) (4.18) Y i+1 = k i (y i -x i.d i.2 -i ) (4.19) Where i denotes the number of rotation required to reach the required angle of the required vector k i = cos (arctan(2 -i )) and d i = ±1. The product of the k i s represent K factor (Walther 1971): K= (4.20) is the angle of rotation here for n times rotation). Table bit CORDIC hardware i tan i =2 -i i=arctan(2 -i ) i in radians

9 98 K is the gain and its value changes as the number of iteration increases. For 8-bit hardware CORDIC approximation method the value of Ki as K= cos i = cos 0. cos 1 cos 7 = cos 45 cos cos = (4.21) From the Table 4.1 it can be seen that precision up to is possible for 8-bit CORDIC hardware. This l are stored in the ROM hardware of the CORIC hardware as a look up table. 4.2 IMPLEMENTATION OF CORDIC ARCHITECTURES In this chapter, different hardware architecture for sine and cosine computation using CORDIC has been presented. If the sine and cosine functions have been implemented in digital hardware, it needs more number of multipliers for many algebraic methods. The alternative techniques such as polynomial approximation, table-lookup method as well as shift and add algorithms have reported by Andraka (1998). FPGAs are suitable for hardware implementation of CORDIC algorithm as with other hardware circuitry. The CORDIC algorithm only performs shift and add operations and it can be easy to implement and also suitable for FPGA based design. It is necessary to analyze the various hardware architecture of CORDIC for the present research work. This work has considered four different hardware architectures of CORDIC. Its speed and area performance has been analyzed. The most obvious methods of implementing a CORDIC such as bit-serial, bitparallel, unrolled and iterative, are described and compared in the following sections.

10 Iterative CORDIC Architecture The CORDIC structure as described in Equations (4.10) to (4.14) is represented by the schematics in Figure 4.3 when directly translated into hardware. Each branch consists of an adder-subtractor combination, a shift unit and a register for buffering the output. At the beginning of a calculation initial values are fed into the register by the multiplexer, where the MSB of the stored value in the z-branch determines the operation mode for the addersubtractor unit. The data in the x and the y branch pass the shift units and are then added to or subtracted from the unshifted data in the opposite path. Figure 4.3 Block Diagram of Iterative CORDIC Architecture The z branch arithmetically combines the register values with the values taken from a lookup table whose address is changed according to the number of iterations. For n iterations the output is mapped back to the registers before initial values are fed. Then the final sine value can be accessed at the output. The design has been implemented in a FPGA the initial values for the vector coordinates as well as the constant values in the

11 100 LUT can be hardwired. The adder and the subtractor components are carried out separately in the architecture. The multiplexer unit is controlled by the sign of angle accumulator, which distinguishes between addition and subtraction operations. The shift operations require a high fan in and reduce the maximum speed when numbers of iterations are more. In addition the throughput rate is also limited by the operations that are performed iteratively Unrolled CORDIC Architecture In Unrolled CORDIC architecture, the output of one stage is the input of the next one as shown in Figure 4.4. First, the shift operations for each step can be performed by wiring the connections between stages appropriately. Second, there is no need for changing constant values and that can be hardwired as well. The purely unrolled design only consists of combinatorial components and computes one sine and cosine value per clock cycle. The input values find their path through the architecture on their own and do not need to be controlled. Obviously the resources in a FPGA are not very suitable for this kind of architecture. A bit-parallel unrolled design with 16 bit word length, each stage contains 48 inputs and outputs with a great number crossconnections between single stages. These cross connections from the x-path through the shift components to the y-path and vice versa. It makes the design difficult to route in a FPGA and cause additional delay times. From Table 4.2 shows the performance and resource usage change with the number of iterations if implemented in a XILINX FPGA. The area in FPGAs can be measured in CLBs. The CLB consists of two lookup tables as well as storage cells with additional control components (Andhraka 1998).

12 101 For the purely combinatorial design the CLBs function generators perform the add and shift operations and storage cells are not used. This means registers could be inserted easily without significantly increasing the area. Figure 4.4 Block Diagram of Unrolled CORDIC Architecture

13 102 However, inserting registers between stages would also reduce the maximum path delays and also increases the speed. It can be seen that the number of CLBs are increased with the reduction in the maximum frequency. The reason for that is the decreasing amount of combinatorial logic between sequential cells. Obviously, the gain of speed when inserting registers exceeds the cost of area and therefore the fully pipelined CORDIC is a suitable solution for generating a sine wave in FPGA s. Table 4.2 Performance and CLB usage in Xilinx Spartan 3 FPGA No. of Iterations Complexity(CLB) Max path delay(ns) Pipelined CORDIC Architecture Both the Unrolled and the iterative bit-parallel designs, show disadvantages in terms of complexities and path delays along with the large number of cross connections between single stages. In order to reduce the complexity, the architecture of bit parallel unrolled CORDIC has been modified. The modified architecture is known as Bit serial iterative CORDIC (Wang 2005). Bit-serial means only one bit is processed at the time and hence the cross connection become one bit-wide data paths.

14 103 The throughput of pipelined CORDIC is as high as with the unrolled design as shown in Figure 4.5. The structural simplicity of a bitserial design has been achieved high throughput with speed. Figure 4.5 Block diagram of Pipelined CORDIC Architecture The pipeline CORDIC design is implemented in a XILINX Spartan device. The performance is constrained by the use of multiplexers for the shift operation and even more for the constant LUT. The latter could be replaced by a RAM or serial ROM where values are read by simply incrementing the memory s address.

15 HWP CORDIC Architecture The development of the HWP CORDIC architecture was carried out for achieving the highest throughput rate and reduction of hardwarecomplexity as well as the computational latency of implementation. Some of the typical approaches for reducing complexity implementation are targeted on minimization of using the scaling-operation and complexity of barrelshifters and adders in the CORDIC engine. Angle recoding schemes, mixedgrain rotation and higher radix CORDIC have been developed for reduced latency realization. The parallel and pipelined CORDIC have been suggested for high-throughput computation (Lakshmi 2010). The inherent drawbacks of the conventional pipeline CORDIC algorithm are computational latency and hardware complexity. Hence, an attempt has been made in the present research work to concentrate on (i) reduction of computational latency (ii) reduction of clock routing and hardware complexity of the pipelined CORDIC architecture based-on Hybrid wave pipelining technique.

16 105 X0 Sign(z) R e g X Register R e g Adder/ Subtractor x(n) Y0 y(n) R e g Y Register R e g Adder/ Subtractor Sign(z) Z0 Sign(z) R e g Angle Register R e g Adder/ Subtractor z(n) Constant LUT Figure 4.6 Block digram of HWP CORDIC Architecture Figure 4.6 shows the architecture of the present HWP CORDIC processor. This architecture includes X register, Y Register, Angle Register, Adder/Subtractor and Multiplexers. The Adder/Subtractor module has been implemented with basic full Adder Circuit. The HWP CORDIC processor can accept 12 bit input data and produce sine and cosine outputs. The X Register, Y Register and Angle Registers were separated with D-Flip flip or Register to compensate the path delays and increases the throughput. A multiplexer can be used to change position according to the current iteration. The initial values X0, Y0 and Z0 are fed into the array at the left end of this serial-in serial-out register. Finally, when all iterations are passed the input

17 106 multiplexers switch again and initial values enter the HWP CORDIC processor as the computed sine and cosine values exit. The HWP CORDIC architecture has been implemented on to Xilinx Spartan FPGA and its critical paths and path delay characteristics were analyzed. In the conventional pipeline CORDIC architecture D max has been observed at 5.265ns and D min was found to be 2.345ns. The maximum and minimum delay difference was measured as 2.92ns. In HWP CORDIC architecture the critical paths were adjusted and it was found to be 4.365ns (D max ) and 2.784nsec (D min ) respectively. The path delay difference was measured at 1.581ns. From the observation it is clear that the D max and D min values are less in the present HWP CORDIC architecture. 4.3 COMPARISON OF CORDIC ARCHITECTURES Various CORDIC architectures used for FPGA implementations have been discussed in previous section. Table 4.3 illustrates the comparison between the various CORDIC architectures such as iterative, unrolled, Pipelined and HWP CORDIC. The iterative design stands out due to its low area usage and low speed, whereas the maximum throughput rate is much lower compared to the bit-parallel designs. The bit-parallel unrolled and fully pipelined design uses the resources extensively but shows the best latency per sample and maximum throughput rate. The HWP CORDIC design provides a balance between unrolled and bit-serial design. It shows an optimum usage of the resources with high speed and maximum throughput rate.

18 107 Table 4.3 Performance and Area usage for the various CORDIC architectures Architecture Type # Slices # LUT #Flip-flops Speed (MHz) Iterative CORDIC Unrolled CORDIC Pipelined CORDIC HWP CORDIC RESULTS AND DISCUSSION The present research work has also taken the comparison among various CORDIC architecture. The VHDL code has been developed for Pipeline CORDIC and its architecture has been modified into HWP CORDIC by altering the critical path delays. From the timing details of the synthesis report, the maximum(d max )and minimum(d min ) path delays have been idenified after downloading the source code into Spatran FPGA. The input data have been applied and delay values are altered by using Xilinx FPGA Editor.The Figure 4.6a shows the ModelSim simulation result of pipelined CORDIC.The output samples have been taken and sine and cosine output waveforms are plotted in Figure 4.6b. Figure 4.6a Sine and Cosine output of CORDIC using ModelSim6.2g

19 108 Sine Plot Angle in degrees Time in ns Angle in degrees Sine Value Cosine Plot Time in ns Cosine Figure 4.6b Sine and Cosine waveform generated by CORDIC samples The conventional pipelinedcordic and HWP CORDIC based DDS architectures have been considered for performance analyis of CORDIC. From the comparion result, that the pipeline CORDIC and HWP CORDIC produce better performance than other two architectures. Hence, pipelined CORDIC and HWP CORDIC have been taken for DDS system integration. This chapter deals with the performance analysis of both pipeline CORDIC DDS and HWP CORDIC DDS. Figures 4.7and 4.11 illustrate the design summary of pipeline CORDIC DDS and HWP CORDIC DDS architetcure respectively. The pipeline CORDIC DDS uses 47 slices, 41 slice flipflops, 73 four input LUTs, 48 IOBs and 40 IOB Flipflops. Whereas HWP CORDIC DDS utilizes 45 slice flipflops, 32 four input LUTs, 83 IOBs and 43

20 109 IOB flipflops. Figures 4.8 and 4.12 show the RTL schematic of pipeline CORDIC DDS and HWP CORDIC DDS. Figure 4.7 Design summary of Pipelined CORDIC DDS Figure 4.8 RTL Schematic of pipelined CORDIC DDS architecture

21 110 Figure 4.9 Power summary of pipelined CORDIC DDS Figure 4.10 shows the block diagram of HWP CORDIC DDS architecture. This DDS design includes the conventional phase accumulatorand HWP CORDIC. The input of the PA is 32bits and its output is 16bits. The 16bits are quantized into 12bits and it is given to HWP CORDIC. If the 16bit input is used for the HWP CORDIC the number of iterations are increased in sine and cosine calculation. In order to minimize the hardware complexity 12bit input is used for HWP CORDIC. Phase Accumulator FCW Input Sine Cosine fclk Figure 4.10 Block Diagram of HWP CORDIC DDS architecture

22 111 Figure 4.11 Design summary of HWP CORDIC DDS architecture The pipeline CORDIC DDS has been consumed 82mW of power and HWP CORDIC DDS consumed 78mW of power dissipation as shown in Figures 4.9 and Figure 4.12 RTL Schematic of HWP CORDIC DDS

23 112 Figure 4.13 Power summary of HWP CORDIC DDS Table 4.4 illustrates the performance comparision of area and speed of the DDS architectures pipelined CORDIC and HWP CORDIC. The area usuage of pipeline CORDIC DDS and HWP CORDIC DDS are almost equal in terms of Flipflops and Four input LUTs. The total estimated power of HWP CORDIC DDS has been reduced to 78mW and throughput is increased from 75% to 81% with MHz frequency improvement. Table 4.4 Performance comparison of Area and Speed Parameters Pipelined CORDIC DDS HWP CORDIC DDS # slice flip-flop # Slices # 4input LUT # Bonded IOB Total estimated Power Consumption (mw) Throughput (%) Maximum frequency(mhz)

24 113 Figure 4.14 shows the ModelSim simulation result of HWP CORDIC DDS architecture design. It uses 100MHz reference clock and generates the high frequency of 47MHz output. The same design can produce minimum frequency to maximum frequencyas shown in Figures 4.15 to The common reference clock frequency and input bits have been consider for this work. Because these values can produce the optimum outputs such as frequency resolution, speed, area, throughput, power and SFDR etc. Figure 4.15 has taken 28F5C28h FCW and it can generate the 1MHz output sine and cosine waveform. Similarly, Figures 4.16, 4.17, 4.18 and 4.19 shows the output frequencies such as 1.7, 3.5, 4.5 and 7.5MHz. The correcsponding FCWs are 45A1CACh, 8F5C28Fh, 251EB851h and h respectively. The output frequencies 25 and 50MHz also has been generated by applying the FCWs of h and h respectively as shown in Figures 4.20 and 4.21.

25 114 Figure 4.14 ModelSim output of HWP CORDIC DDS architecture Figure MHz HWP CORDIC DDS output

26 115 Figure MHz HWP CORDIC DDS output Figure MHz HWP CORDIC DDS output Figure MHz HWP CORDIC DDS output

27 116 Figure MHz HWP CORDIC DDS output Figure MHz HWP CORDIC DDS output Figure MHz HWP CORDIC DDS output

28 CONCLUSION In this chapter, the researcher discusses the basic CORDIC equation for sine and cosine calculation and analyzed about various CORDIC architecture. The VHDL code has been developed for HWP CORDIC architecture and it is simulated using Xilinx ISE9.2i and ModelSim6.2g. The sine and cosine samples are taken and plotted. The HWP CORDIC has been developed with DDS top module, the synthesis and simulation results are verified. The Pipeline PA with pipelined CORDIC based DDS has been compared with HWP CORDIC based DDS design in terms of speed and area. From the synthesis and implementation, it is clear that HWP CORDIC has produced the better performance than pipelined CORDIC.

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques T.Kranthi Kiran, Dr.PS.Sarma Abstract DPLLs are used widely in communications systems like radio, telecommunications,

More information

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm

Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm Rotation of Coordinates With Given Angle And To Calculate Sine/Cosine Using Cordic Algorithm A. Ramya Bharathi, M.Tech Student, GITAM University Hyderabad ABSTRACT This year, 2015 make CORDIC (COordinate

More information

A Novel Low-Power High-Resolution ROM-less DDFS Architecture

A Novel Low-Power High-Resolution ROM-less DDFS Architecture A Novel Low-Power High-Resolution ROM-less DDFS Architecture M. NourEldin M., Ahmed Yahya Abstract- A low-power high-resolution ROM-less Direct Digital frequency synthesizer architecture based on FPGA

More information

Mohd Ahmer, Mohammad Haris Bin Anwar and Amsal Subhan ijesird, Vol. I (XI) May 2015/422

Mohd Ahmer, Mohammad Haris Bin Anwar and Amsal Subhan ijesird, Vol. I (XI) May 2015/422 Implementation of CORDIC on FPGA using VHDL to compare word serial & pipelined architecture. Mohd Ahmer 1, Mohammad Haris Bin Anwar 2, Amsal Subhan 3 Lecturer 1, Lecturer 2 M.Tech. Student 3 Department

More information

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 9 (2013), pp. 1109-1114 Research India Publications http://www.ripublication.com/aeee.htm Design of NCO by Using CORDIC

More information

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals

CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals International Journal of Scientific & Engineering Research, Volume 2, Issue 12, December-2011 1 CORDIC Algorithm Implementation in FPGA for Computation of Sine & Cosine Signals Hunny Pahuja, Lavish Kansal,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 PROBLEM IDENTIFICATION In the past few decades, the wireless communication technology has seen tremendous growth for various applications. The wireless communication industry

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

CORDIC Based Digital Modulator Systems

CORDIC Based Digital Modulator Systems ISSN (Online) : 239-8753 ISSN (Print) : 2347-67 An ISO 3297: 27 Certified Organization Volume 3, Special Issue 5, July 24 Technology [IC - IASET 24] Toc H Institute of Science & Technology, Arakunnam,

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

The Optimal Implementation of a Generator of Sinusoid

The Optimal Implementation of a Generator of Sinusoid American Journal of Applied Sciences Original Research Paper The Optimal Implementation of a Generator of Sinusoid Souhila Boudjema and Kaddour Saouchi Department of Electronics, Faculty of Engineering,

More information

Evaluation of CORDIC Algorithm for the processing of sine and cosine functions

Evaluation of CORDIC Algorithm for the processing of sine and cosine functions International Journal of Business and Management Invention ISSN (Online): 2319 8028, ISSN (Print): 2319 801X Volume 6 Issue 3 March. 2017 PP 50-54 Evaluation of CORDIC Algorithm for the processing of sine

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

Tirupur, Tamilnadu, India 1 2

Tirupur, Tamilnadu, India 1 2 986 Efficient Truncated Multiplier Design for FIR Filter S.PRIYADHARSHINI 1, L.RAJA 2 1,2 Departmentof Electronics and Communication Engineering, Angel College of Engineering and Technology, Tirupur, Tamilnadu,

More information

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS 17 Chapter 2 REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS In this chapter, analysis of FPGA resource utilization using QALU, and is compared with

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

S.Nagaraj 1, R.Mallikarjuna Reddy 2

S.Nagaraj 1, R.Mallikarjuna Reddy 2 FPGA Implementation of Modified Booth Multiplier S.Nagaraj, R.Mallikarjuna Reddy 2 Associate professor, Department of ECE, SVCET, Chittoor, nagarajsubramanyam@gmail.com 2 Associate professor, Department

More information

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm

A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm A Novel Approach For the Design and Implementation of FPGA Based High Speed Digital Modulators Using Cordic Algorithm 1 Dhivya Jose, 2 Reneesh C Zacharia, 3 Rijo Sebastian 1 M Tech student, 2,3 Assistant

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Yifei Sun 1,a, Shu Sasaki 1,b, Dan Yao 1,c, Nobukazu Tsukiji 1,d, Haruo Kobayashi 1,e 1 Division of Electronics and Informatics,

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

Implementation of Adaptive Digital Beamforming using Cordic

Implementation of Adaptive Digital Beamforming using Cordic Implementation of Adaptive Digital Beamforming using Cordic AZRA JEELANI Associate Professor, M S Engineering College, Bangalore, Karnataka, India azrajeelani@gmail.com Dr. VEENA.M.B Associate Professor,

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

DIGIT SERIAL PROCESSING ELEMENTS. Bit-Serial Multiplication. Digit-serial arithmetic processes one digit of size d in each time step.

DIGIT SERIAL PROCESSING ELEMENTS. Bit-Serial Multiplication. Digit-serial arithmetic processes one digit of size d in each time step. IGIT SERIAL PROCESSING ELEMENTS 1 BIT-SERIAL ARITHMETIC 2 igit-serial arithmetic processes one digit of size d in each time step. if d = W d => conventional bit-parallel arithmetic if d = 1 => bit-serial

More information

Computer Architecture Laboratory

Computer Architecture Laboratory 304-487 Computer rchitecture Laboratory ssignment #2: Harmonic Frequency ynthesizer and FK Modulator Introduction In this assignment, you are going to implement two designs in VHDL. The first design involves

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope. www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.25 September-2014, Pages:5002-5008 VHDL Implementation of Optimized Cascaded Integrator Comb (CIC) Filters for Ultra High Speed Wideband Rate

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

HIGH-PERFORMANCE direct digital frequency synthesizers

HIGH-PERFORMANCE direct digital frequency synthesizers IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 3, MARCH 1995 193 A 200 MHz Quadrature Digital Synthesizer/Mixer in 0.8 m CMOS Loke Kun Tan and Henry Samueli, Member, IEEE Abstract A 200 MHz quadrature

More information

Design of Digital FIR Filter using Modified MAC Unit

Design of Digital FIR Filter using Modified MAC Unit Design of Digital FIR Filter using Modified MAC Unit M.Sathya 1, S. Jacily Jemila 2, S.Chitra 3 1, 2, 3 Assistant Professor, Department Of ECE, Prince Dr K Vasudevan College Of Engineering And Technology

More information

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique TALLURI ANUSHA *1, and D.DAYAKAR RAO #2 * Student (Dept of ECE-VLSI), Sree Vahini Institute of Science and Technology,

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 95 CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 4. 1 INTRODUCTION Several mobile communication standards are currently in service in various parts

More information

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Dr.N.C.sendhilkumar, Assistant Professor Department of Electronics and Communication Engineering Sri

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

FPGA Implementation of Adaptive Noise Canceller

FPGA Implementation of Adaptive Noise Canceller Khalil: FPGA Implementation of Adaptive Noise Canceller FPGA Implementation of Adaptive Noise Canceller Rafid Ahmed Khalil Department of Mechatronics Engineering Aws Hazim saber Department of Electrical

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 2, Issue 8, August 2012 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Implementation

More information

High Speed and Reduced Power Radix-2 Booth Multiplier

High Speed and Reduced Power Radix-2 Booth Multiplier www..org 25 High Speed and Reduced Power Radix-2 Booth Multiplier Sakshi Rajput 1, Priya Sharma 2, Gitanjali 3 and Garima 4 1,2,3,4 Asst. Professor, Deptt. of Electronics and Communication, Maharaja Surajmal

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL Core N-channel FIR filter core implemented as a systolic array for speed and scalability Support for one or more independent

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Digital Signal Processing Techniques

Digital Signal Processing Techniques Digital Signal Processing Techniques Dmitry Teytelman Dimtel, Inc., San Jose, CA, 95124, USA June 17, 2009 Outline 1 Introduction 2 Signal synthesis Arbitrary Waveform Generation CORDIC Direct Digital

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 10, Issue 1, January February 2019, pp. 88 94, Article ID: IJARET_10_01_009 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=10&itype=1

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 ISSN 0976 6464(Print)

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

Design and Simulation of a Modified 32-bit ROM-based Direct Digital Frequency Synthesizer on FPGA

Design and Simulation of a Modified 32-bit ROM-based Direct Digital Frequency Synthesizer on FPGA Amirkabir University of Technology (Tehran Polytechnic) Vol. 47, No. 1, Spring 2015, pp. 23-29 Amirkabir International Journal of Science& Research )AIJ-EEE) Design and Simulation of a Modified 32-bit

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method International Journal of Recent Technology and Engineering (IJRTE) ISSN: 2277-3878, Volume-3, Issue-1, March 2014 The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

ATA Memo No. 40 Processing Architectures For Complex Gain Tracking. Larry R. D Addario 2001 October 25

ATA Memo No. 40 Processing Architectures For Complex Gain Tracking. Larry R. D Addario 2001 October 25 ATA Memo No. 40 Processing Architectures For Complex Gain Tracking Larry R. D Addario 2001 October 25 1. Introduction In the baseline design of the IF Processor [1], each beam is provided with separate

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Research Article. Amiya Karmakar Ȧ,#, Deepshikha Mullick Ḃ,#,* and Amitabha Sinha Ċ. Abstract

Research Article. Amiya Karmakar Ȧ,#, Deepshikha Mullick Ḃ,#,* and Amitabha Sinha Ċ. Abstract Research Article International Journal of Current Engineering and Technology E-ISSN 2277 4106, P-ISSN 2347-5161 2014 INPRESSCO, All Rights Reserved Available at http://inpressco.com/category/ijcet High

More information

CHAPTER 5 DIGITAL REALIZATION OF PID CONTROLLER AND INVERSE PARK S TRANSFORMATION

CHAPTER 5 DIGITAL REALIZATION OF PID CONTROLLER AND INVERSE PARK S TRANSFORMATION 43 CHAPTER 5 DIGITAL REALIZATION OF PID CONTROLLER AND INVERSE PARK S TRANSFORMATION 5. INTRODUCTION Proportional Integral Derivative (PID) controller is one of the most common types of feedback controllers

More information

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Optimized Design and Implementation of an Iterative Logarithmic Signed Multiplier Sanjeev kumar Patel, Vinod

More information

FINITE IMPULSE RESPONSE (FIR) FILTER

FINITE IMPULSE RESPONSE (FIR) FILTER CHAPTER 3 FINITE IMPULSE RESPONSE (FIR) FILTER 3.1 Introduction Digital filtering is executed in two ways, utilizing either FIR (Finite Impulse Response) or IIR (Infinite Impulse Response) Filters (MathWorks

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN OF HIGH SPEED FIR FILTER ON FPGA BY USING MULTIPLEXER ARRAY OPTIMIZATION IN DA-OBC ALGORITHM Palepu Mohan Radha Devi, Vijay

More information

FIR Filter Design on Chip Using VHDL

FIR Filter Design on Chip Using VHDL FIR Filter Design on Chip Using VHDL Mrs.Vidya H. Deshmukh, Dr.Abhilasha Mishra, Prof.Dr.Mrs.A.S.Bhalchandra MIT College of Engineering, Aurangabad ABSTRACT This paper describes the design and implementation

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Abstract A new low area-cost FIR filter design is proposed using a modified Booth multiplier based on direct form

More information

IJSER HIGH PERFORM ANCE PIPELINED SIGNED 8* 8 -BI T M ULTIPLIER USING RADIX-4,8 M ODIFIED BOOTH ALGORITHM

IJSER HIGH PERFORM ANCE PIPELINED SIGNED 8* 8 -BI T M ULTIPLIER USING RADIX-4,8 M ODIFIED BOOTH ALGORITHM International Journal of Scientific & Engineering Research, Volume 6, Issue 10, October-2015 87 HIGH PERFORM ANCE PIPELINED SIGNED 8* 8 -BI T M ULTIPLIER USING RADIX-4,8 M ODIFIED BOOTH ALGORITHM Prateek

More information

Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators

Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators Milan Stork Applied Electronics and Telecommunications, Faculty of Electrical Engineering/RICE University of West Bohemia,

More information

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier 1 S. Raju & 2 J. Raja shekhar 1. M.Tech Chaitanya institute of technology and science, Warangal, T.S India 2.M.Tech Associate Professor, Chaitanya

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

An Efficient Baugh-WooleyArchitecture forbothsigned & Unsigned Multiplication

An Efficient Baugh-WooleyArchitecture forbothsigned & Unsigned Multiplication An Efficient Baugh-WooleyArchitecture forbothsigned & Unsigned Multiplication PramodiniMohanty VLSIDesign, Department of Electrical &Electronics Engineering Noida Institute of Engineering & Technology

More information

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS

DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS DIRECT DIGITAL SYNTHESIS BASED CORDIC ALGORITHM: A NOVEL APPROACH TOWARDS DIGITAL MODULATIONS Prajakta J. Katkar 1, Yogesh S. Angal 2 1 PG student with Department of Electronics and telecommunication,

More information

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure 1 JUILI BORKAR, 2 DR.U.M.GOKHALE 1 M.TECH VLSI (STUDENT), DEPARTMENT OF ETC, GHRIET, NAGPUR,

More information

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors M.Satheesh, D.Sri Hari Student, Dept of Electronics and Communication Engineering, Siddartha Educational Academy

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Design and Analysis of RNS Based FIR Filter Using Verilog Language

Design and Analysis of RNS Based FIR Filter Using Verilog Language International Journal of Computational Engineering & Management, Vol. 16 Issue 6, November 2013 www..org 61 Design and Analysis of RNS Based FIR Filter Using Verilog Language P. Samundiswary 1, S. Kalpana

More information

Reducing Power Dissipation in Pipelined Accumulators

Reducing Power Dissipation in Pipelined Accumulators Reducing Power issipation in Pipelined Accumulators Gian Carlo Cardarilli (), Alberto Nannarelli (2) and Marco Re () () epartment of Electronic Eng., University of Rome Tor Vergata, Rome, Italy (2) TU

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Fir Filter Using Area and Power Efficient Truncated Multiplier R.Ambika *1, S.Siva Ranjani 2 *1 Assistant Professor,

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

Design & Implementation of DDFS Using VLSI Technology

Design & Implementation of DDFS Using VLSI Technology Design & Implementation of DDFS Using VLSI Technology V.Ashok Kumar Head of the Department, Abstract CORDIC algorithms have long been used in digital signal processing for calculating trigonometric, hyperbolic,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

OPTIMIZATION OF LOW POWER USING FIR FILTER

OPTIMIZATION OF LOW POWER USING FIR FILTER OPTIMIZATION OF LOW POWER USING FIR FILTER S. Prem Kumar Lecturer/ ECE Department Narasu s Sarathy Institute of Technology Salem, Tamil Nadu, India S. Sivaprakasam Lecturer/ ECE Department Narasu s Sarathy

More information

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 12 ǁ December. 2013 ǁ PP.44-48 Fpga Implementation of Truncated Multiplier Using

More information

Direct Digital Frequency Synthesizer with CORDIC Algorithm and Taylor Series Approximation for Digital Receivers

Direct Digital Frequency Synthesizer with CORDIC Algorithm and Taylor Series Approximation for Digital Receivers Direct Digital Frequency Synthesizer with Algorithm and Taylor Series Approximation for Digital Receivers Maher Jridi, Ayman Alfalou To cite this version: Maher Jridi, Ayman Alfalou. Direct Digital Frequency

More information

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter Jaya Bar Madhumita Mukherjee Abstract-This paper presents the VLSI architecture of pipeline digital filter.

More information

Design of a High Throughput 128-bit AES (Rijndael Block Cipher)

Design of a High Throughput 128-bit AES (Rijndael Block Cipher) Design of a High Throughput 128-bit AES (Rijndael Block Cipher Tanzilur Rahman, Shengyi Pan, Qi Zhang Abstract In this paper a hardware implementation of a high throughput 128- bits Advanced Encryption

More information