DIGIT SERIAL PROCESSING ELEMENTS. Bit-Serial Multiplication. Digit-serial arithmetic processes one digit of size d in each time step.

Size: px
Start display at page:

Download "DIGIT SERIAL PROCESSING ELEMENTS. Bit-Serial Multiplication. Digit-serial arithmetic processes one digit of size d in each time step."

Transcription

1 IGIT SERIAL PROCESSING ELEMENTS 1 BIT-SERIAL ARITHMETIC 2 igit-serial arithmetic processes one digit of size d in each time step. if d = W d => conventional bit-parallel arithmetic if d = 1 => bit-serial arithmetic d in the range 1 4 is probabl a good choice Potential advantages: Bit-Serial Addition and Subtraction X = x x 1 x 2 x Wd 1 Y = 1 2 Wd 1 MSB LSB X Y Reset Sum C X Y iff C + Smaller chip area ±? Power consumption LSB first is commonl used ±? esign complexit ±? Available tools The MSB first case is more difficult, but it is sometimes used SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se Bit-Serial Multiplication 3 Special case when data is positive, x 4 Serial/Parallel Multipliers In a serial/parallel multiplier the multiplicand, x, arrive bit-seriall while the multiplier, a, is applied in a bit-parallel format ( a a 1 a 2 a 3 a 4 ) x Wd 1 ( a a 1 a 2 a 3 a 4 ) x Wd 2 ( a a 1 a 2 a 3 a 4 ) x 2 ( a a 1 a 2 a Wc 1 ) x W d 1 ( a a 1 a 2 a Wc 1 ) x W d 2 ( a a 1 a 2 a 3 a 4 ) x 1 ( a a 1 a 2 a 3 a 4 ) x ( a a 1 a 2 a Wc 1 ) x Wd +W c 3 W d +W c 2 ( a a 1 a 2 a Wc 1 ) x 1 ( a a 1 a 2 a Wc 1 ) x x.x 1 x 2... x Wd 1 a a 1 a 2 a 3 a Wd +W c 3 W d +W c 2 Man different schemes for bit-serial multipliers have been proposed, but all are based on the add-and-shift principle. The differ mainl in which order bit-products are generated and added and in the wa subtraction is handled. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

2 uring the first W d clock ccles, the least significant part of the product is computed and the most significant is stored in the flip-flops. In the next W c 1 clock ccles, zeros are therefore applied to the input so that the most significant part of the product is shifted out of the multiplier. 5 Signed Multiplicand two s-complement The subtraction of the bit-products required for the sign-bit can be avoided b extending the input b W c 1 copies of the sign-bit. ( a a 1 a 2 a 3 a 4 ) x W d 1 6 Hence, the multiplication requires W d +W c 1 clock ccles. ( a a 1 a 2 a 3 a 4 ) x Wd 2 Two successive multiplications must therefore be separated b W d +W c 1 clock ccles. ( a a 1 a 2 a 3 a 4 ) x 2 ( a a 1 a 2 a 3 a 4 ) x 1 ( a a 1 a 2 a 3 a 4 ) x 1 1 Wd +W c 3 W d +W c x.x 1 x 2 x 3 x 4 x 5 a a 1 a 2 a 3 a 4 W c 1 x x x x x.x 1 x 2 x 3 x 4 x 5 W d +W c 1 SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se A 16-bit serial/parallel multiplier implemented using two-phase logic in a.8-µm CMOS process requires an area of onl 9 µm 55 µm ª.5 mm 2. An alternative version that is the more favorable. 7 Transposed Serial/Parallel Multiplier An alternative realization of the serial/parallel multiplier which adds the bit-products diagonal-wise Lon s multiplier 8 a 4 a 3 a x.x 1 x 2... x Wd 1 a 2 a 1 x.x 1 x 2... x Wd 1 a a 1 a 2 a 3 a 4 Transposed serial/parallel multiplier Modified Serial/parallel multiplier No obvious advantages, but is commonl referred to in the literature! SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

3 Serial/Parallel Multiplier Accumulator 9 Bit-Serial Adaptor 1 = a x + z a 2 a 1 Add Shimming delas b 1 a 4 a 3 x.x 1 x 2... x Wd 1 a a 2 a 1 z Sub Trunc b 2 Serial/parallel multiplier with an inherent input for addition Sign ext. a a 1 a 2 a 3 a 4 Restrictions on the accumulators wordlength built-in truncation SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se In order to avoid limit ccles in wave digital filters we should quantize the outputs of the adaptors: 11 RAM bus 12 b i ( n ) Q < b i ( n ) exact (Magnitude truncation) Sign bit Hence, we must know the sign-bit and the guard-bit! If sign-bit π guard-bit => overflow! RAM Select ata, Max, or Min Shift Register Overflow Logic HA HA Sub a 1 (n) Q a 2 (n) a 1 (n) a 2 (n) Q Shift Register a 2 (n) Shift Register a 1 (n) Sign bit Magnitude truncation in two-port adaptors Shimming elas Sign bit Guard bit Bit-serial PE Shimming elas Examples of performing operations during data transport! Bit-serial PE with overflow correction SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

4 S/P MULTIPLIERS WITH FIXE COEFFICIENTS Serial/Parallel Multipliers with a Positive Coefficient Example Fixed positive coefficient a = (.111) 2C. Serial/parallel multiplier with AN gates removed First, we remove unnecessar AN gates. Next, we notice that the flip-flops are cleared at the beginning of the multiplication. Hence, the left-most therefore has onl zeros as inputs. Hence, it will alwas produce sum and carr bits that are zero, and can therefore be removed. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se Next step Simplified serial/parallel multiplier SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se 1. Remove all AN gates. 2. Remove all s and corresponding flip-flops, starting with the most significant bit in the coefficient, up to the first 1 in the coefficient. 3. Replace each that corresponds to a zero-bit in the coefficient with a feedthrough. The number of s is one less that the number of 1 s in the coefficient. The number of flip-flops equals the number of 1-bit positions between the first and last bit positions. Thus, substantial savings can be made for fixed coefficients. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

5 Serial/Parallel Multipliers with a Negative Coefficient Special case of CSC! 17 A number in CSC representation can be written c = ± c ± c ± c ± c ± ± c Wc 1 2 Wc+1 18 Serial/Parallel Multipliers with a CSC Coefficient The serial/parallel multiplier can be simplified significantl if the coefficient is fixed. The cost is essentiall determined b the number of nonzero bits in the coefficient. In CSC, the average number of nonzero bits is onl about W c /3, compared to W c /2 for two s-complement numbers. Further reductions in hardware resources are therefore possible. where most of the bits are zero. The number c can be rewritten as a difference between two numbers with onl positive coefficients, c = c + c A multiplication can now be written = c x = (c + c ) x = c + x +c ( x) = c + x + c (x + 2 Wd+1 ) where x represents the original value with all bits inverted. Obviousl, the multiplication can be implemented using the technique discussed above, except the x-inputs to the s in bit positions with negative coefficient weights are inverted, and the corresponding carr flipflops are initiall set. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se Example Fixed coefficient a = (.111) 2C using CSC. We first rewrite the coefficient, as just discussed, using CSC. a = (.111) 2C = (.11) CSC = (.1) 2C (.1) 2C 19 MINIMUM NUMBER OF BASIC OPERATIONS There are man applications of fixed-point multiplications with fixed coefficients. In such cases the implementation cost can often be reduced if the multiplications are replaced b elementar operations. The most interesting cases are when the multiplication is realized b onl using the following operations: Serial/parallel multiplier with CSC representation of the coefficient a = (.11) CSC Addition onl Addition and subtraction Addition and shift Addition and subtraction and shift Onl one and four flip-flops are needed, while an implementation using the two s-complement coefficient would require two s and five flip-flops. Hence, the CSC implementation is better in this case. As before, we will not differentiate between addition and subtraction, since their implementation cost is roughl the same. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

6 A shift operation corresponds to a multiplication with a power-of-two. 21 Multiplication with a Fixed Coefficient 22 A shift operation can be implemented either in bit-parallel arithmetic b a barrel shifter if the number of shifts var or simpl b a skewed wiring if the number of shifts is fixed - almost negligible cost. In bit-serial arithmetic a shift operation corresponds to a cascade of flipflops. Thus, both the chip area and power consumption are significant in bit-serial arithmetic. As discussed before, a multiplication with a fixed coefficient (multiplicand) can be simplified if the latter is expressed in canonic signed digit code, CSC). The number of add/sub operations equals the number of nonzero digits in the multiplicand minus one. However, the number of adders/subtractors required b this approach is not alwas a minimum if the multiplicand is larger than 44. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se For example, (45) 1 = (1111) CSC requires three additions (subtractions) Another more efficient alternative representation is (45) 1 = (2 3 +1)( ) which requires onl two additions. Hence, it is of great interest to find the best algorithm to perform the multiplication with fewest basic operations Alternative graphs representing multiplication with 45 Graphs with one and two adders/subtractors multipliers Graphs for three adders/subtractors multipliers SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

7 There are seven different graphs with three adders/subtractors. 32 different graphs with four adders/subtractors. 25 Multiple-Constant Multiplication 26 All numbers in the range [ 496, 495], which correspond to a 12-bit word length, and, of course, man outside this range can be realized with onl four adder/subtractors. x c 1 c 2 c N The number of possible graphs grows rapidl with the number of adders/ subtractors. 1 2 N Multiple-constant multiplication Obviousl there must exist a representation that ields a minimum number of elementar operations (add/subtract and shift), but this number ma not be unique. x 1 x 2 x N Note that for some numbers the CSC representation is still the best. c 1 c 2 c N For a 12-bit word length, the optimal multipliers achieve an average reduction of 16% in the number of adders required over CSC. Transposed multiple-constant multiplication Note, however, that for a particular multiplicand the reduction ma be much larger. The same problem the same solution! SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se 27 IGIT-SERIAL ARITHMETIC 28 x d 1a d 2a d 3a From speed and power consumption points of view it ma sometimes be advantageous to process several bits at a time, so-called digit-serial processing. d 1b d 1c d2b d 2c Exploiting common subexpressions to simplif multiple-constant multiplication The number of bits processed in a clock ccle is referred to as the digit size, d. Most of the principles, to be discussed above, for bit-serial arithmetic can easil be extended to digit-serial arithmetic. Active research topic Look at our web site for recent papers! The motivation for digit-serial processing is to find an optimum trade-off between power, chip area and processing capacit. Active research topic! SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

8 Traditionall, digit-serial multipliers has been obtained either via unfolding of a bit-serial multiplier or via folding of a bit-parallel multiplier. 29 The latenc of digit-serial processing elements are convenientl described in terms of number of clock ccles. 3 x d-1 d-1 x 1 1 x s d-1 s 1 s critical path An adder has a latenc of zero clock ccles while a serial/parallel multiplier has a latenc of W f d clock ccles, where W f is the number of fractional bits of the coefficient. The clock frequenc will be determined b the longest critical path, which basicall is the number of adjacent operations. igit-serial adder with digit-size d obtained from unfolding an bit-serial adder The problem with these approaches is that the obtained circuits have not been pipelinable at the bit-level. Introducing a pipelining stage will increase the latenc with one clock ccle, but the critical (electrical) path will be decreased, and, thus, the clock frequenc increased. The recursive loop prohibits the insertion of pipelining to reduce the critical path to less than d full-adders, but solutions to this problem has been proposed b Oscar Gustafsson. Look at our web site for techniques to find the optimal level of pipelining in maximall fast, digit-serial, implementations and much more. SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se SP Integrated Circuits epartment of Electrical Engineering larsw@is.liu.se

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters Multiple Constant Multiplication for igit-serial Implementation of Low Power FIR Filters KENNY JOHANSSON, OSCAR GUSTAFSSON, and LARS WANHAMMAR epartment of Electrical Engineering Linköping University SE-8

More information

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters Proceedings of the th WSEAS International Conference on CIRCUITS, Vouliagmeni, Athens, Greece, July -, (pp3-39) Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters KENNY JOHANSSON,

More information

Combinational Circuits DC-IV (Part I) Notes

Combinational Circuits DC-IV (Part I) Notes Combinational Circuits DC-IV (Part I) Notes Digital Circuits have been classified as: (a) Combinational Circuits: In these circuits output at any instant of time depends on inputs present at that instant

More information

CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA

CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA 90 CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA 5.1 INTRODUCTION A combinational circuit consists of logic gates whose outputs at any time are determined directly from the present combination

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

Computer Arithmetic (2)

Computer Arithmetic (2) Computer Arithmetic () Arithmetic Units How do we carry out,,, in FPGA? How do we perform sin, cos, e, etc? ELEC816/ELEC61 Spring 1 Hayden Kwok-Hay So H. So, Sp1 Lecture 7 - ELEC816/61 Addition Two ve

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

10. DSP Blocks in Arria GX Devices

10. DSP Blocks in Arria GX Devices 10. SP Blocks in Arria GX evices AGX52010-1.2 Introduction Arria TM GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring high data throughput. These SP

More information

6. DSP Blocks in Stratix II and Stratix II GX Devices

6. DSP Blocks in Stratix II and Stratix II GX Devices 6. SP Blocks in Stratix II and Stratix II GX evices SII52006-2.2 Introduction Stratix II and Stratix II GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring

More information

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 3, March 2014,

More information

LOW POWER AND LOW COMPLEXITY CONSTANT MULTIPLICATION USING SERIAL ARITHMETIC

LOW POWER AND LOW COMPLEXITY CONSTANT MULTIPLICATION USING SERIAL ARITHMETIC Linköping Studies in Science and Technology Thesis No. 249 LOW POWER AND LOW COMPLEXITY CONSTANT MULTIPLICATION USING SERIAL ARITHMETIC Kenny Johansson LiU-Tek-Lic-26:3 Department of Electrical Engineering

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

0 A. Review. Lecture #16. Pipeline big-delay CL for faster clock Finite State Machines extremely useful You!ll see them again in 150, 152 & 164

0 A. Review. Lecture #16. Pipeline big-delay CL for faster clock Finite State Machines extremely useful You!ll see them again in 150, 152 & 164 CS61C L15 Representations of Combinatorial Logic Circuits (1) inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #16 Representations of Combinatorial Logic Circuits CPS today! 2005-10-26

More information

FIR Filter Fits in an FPGA using a Bit Serial Approach

FIR Filter Fits in an FPGA using a Bit Serial Approach FIR Filter Fits in an FPG using a it erial pproach Raymond J. ndraka, enior Engineer Raytheon Company, Missile ystems Division, Tewksbury M 01876 INTRODUCTION Early digital processors almost exclusively

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

Low-Power Implementation of a Fifth-Order Comb Decimation Filter for Multi-Standard Transceiver Applications

Low-Power Implementation of a Fifth-Order Comb Decimation Filter for Multi-Standard Transceiver Applications Low-Power Implementation of a Fifth-Order Comb ecimation Filter for Multi-Standard Transceiver Applications Yonghong Gao and Hannu Tenhunen Electronic System esign Laboratory, Royal Institute of Technology

More information

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique TALLURI ANUSHA *1, and D.DAYAKAR RAO #2 * Student (Dept of ECE-VLSI), Sree Vahini Institute of Science and Technology,

More information

FIR System Specification

FIR System Specification Design Automation for Digital Filters 1 FIR System Specification 1-δ 1 Amplitude f 2 Frequency response determined by coefficient quantization δ 2 SNR = 10log E f 1 2 E( yref ) ( y y ) ( ) 2 ref finite

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS 17 Chapter 2 REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS In this chapter, analysis of FPGA resource utilization using QALU, and is compared with

More information

EECS150 - Digital Design Lecture 23 - Arithmetic and Logic Circuits Part 4. Outline

EECS150 - Digital Design Lecture 23 - Arithmetic and Logic Circuits Part 4. Outline EECS150 - Digital Design Lecture 23 - Arithmetic and Logic Circuits Part 4 April 19, 2005 John Wawrzynek Spring 2005 EECS150 - Lec23-alc4 Page 1 Outline Shifters / Rotators Fixed shift amount Variable

More information

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Optimized Design and Implementation of an Iterative Logarithmic Signed Multiplier Sanjeev kumar Patel, Vinod

More information

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion REPRINT FROM: PROC. OF IRISCH SIGNAL AND SYSTEM CONFERENCE, DERRY, NORTHERN IRELAND, PP.165-172. Low Power VLSI CMOS Design An Image Processing Chip for RGB to HSI Conversion A.Th. Schwarzbacher and J.B.

More information

Low Power R4SDC Pipelined FFT Processor Architecture

Low Power R4SDC Pipelined FFT Processor Architecture IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 Volume 1, Issue 6 (Mar. Apr. 2013), PP 68-75 Low Power R4SDC Pipelined FFT Processor Architecture Anjana

More information

CS 61C: Great Ideas in Computer Architecture Finite State Machines, Functional Units

CS 61C: Great Ideas in Computer Architecture Finite State Machines, Functional Units CS 61C: Great Ideas in Computer Architecture Finite State Machines, Functional Units Instructors: Vladimir Stojanovic and Nicholas Weaver http://inst.eecs.berkeley.edu/~cs61c/sp16 1 Machine Interpretation

More information

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2 ISSN 2319-8885 Vol.03,Issue.38 November-2014, Pages:7763-7767 www.ijsetr.com Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S

More information

Hardware Efficient Reconfigurable FIR Filter

Hardware Efficient Reconfigurable FIR Filter International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 7, Issue 7 (June 2013), PP. 69-76 Hardware Efficient Reconfigurable FIR Filter Balu

More information

UNIT-IV Combinational Logic

UNIT-IV Combinational Logic UNIT-IV Combinational Logic Introduction: The signals are usually represented by discrete bands of analog levels in digital electronic circuits or digital electronics instead of continuous ranges represented

More information

Chapter 11. Digital Integrated Circuit Design II. $Date: 2016/04/21 01:22:37 $ ECE 426/526, Chapter 11.

Chapter 11. Digital Integrated Circuit Design II. $Date: 2016/04/21 01:22:37 $ ECE 426/526, Chapter 11. Digital Integrated Circuit Design II ECE 426/526, $Date: 2016/04/21 01:22:37 $ Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu)

More information

IJMIE Volume 2, Issue 5 ISSN:

IJMIE Volume 2, Issue 5 ISSN: Systematic Design of High-Speed and Low- Power Digit-Serial Multipliers VLSI Based Ms.P.J.Tayade* Dr. Prof. A.A.Gurjar** Abstract: Terms of both latency and power Digit-serial implementation styles are

More information

EECS 452 Midterm Exam Winter 2012

EECS 452 Midterm Exam Winter 2012 EECS 452 Midterm Exam Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Section I /40 Section II

More information

DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS

DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS DESIGN & FPGA IMPLEMENTATION OF RECONFIGURABLE FIR FILTER ARCHITECTURE FOR DSP APPLICATIONS MAHESH BABU KETHA*, CH.VENKATESWARLU ** KANTIPUDI RAGHURAM** ECE Department Pragati Engineering College, Surampalem,

More information

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs Advanced AD/DA converters Overview Why ΔΣ DACs ΔΣ DACs Architectures for ΔΣ DACs filters Smoothing filters Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Advanced

More information

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Michael Bernhard, Joachim Speidel Universität Stuttgart, Institut für achrichtenübertragung, 7569 Stuttgart E-Mail: bernhard@inue.uni-stuttgart.de

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 6a High-Speed Multiplication - I Israel Koren ECE666/Koren Part.6a.1 Speeding Up Multiplication

More information

An Analysis of Multipliers in a New Binary System

An Analysis of Multipliers in a New Binary System An Analysis of Multipliers in a New Binary System R.K. Dubey & Anamika Pathak Department of Electronics and Communication Engineering, Swami Vivekanand University, Sagar (M.P.) India 470228 Abstract:Bit-sequential

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog 1 P.Sanjeeva Krishna Reddy, PG Scholar in VLSI Design, 2 A.M.Guna Sekhar Assoc.Professor 1 appireddigarichaitanya@gmail.com,

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

DIGITAL LOGIC COMPUTER SCIENCE

DIGITAL LOGIC COMPUTER SCIENCE 29 DIGITL LOGIC COMPUTER SCIENCE Unit of ENGINEERS CREER GROUP Head O ce: S.C.O-2-22 - 23, 2 nd Floor, Sector-34/, Chandigarh-622 Website: www.engineerscareergroup.in Toll Free: 8-27-4242 E-Mail: ecgpublica

More information

Subtractor Logic Schematic

Subtractor Logic Schematic Function Of Xor Gate In Parallel Adder Subtractor Logic Schematic metic functions, including half adder, half subtractor, full adder, independent logic gates to form desired circuits based on dif- by integrating

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

Design of Roba Mutiplier Using Booth Signed Multiplier and Brent Kung Adder

Design of Roba Mutiplier Using Booth Signed Multiplier and Brent Kung Adder International Journal of Engineering Science Invention (IJESI) ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 7 Issue 4 Ver. II April 2018 PP 08-14 Design of Roba Mutiplier Using Booth Signed

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

Unit 3. Logic Design

Unit 3. Logic Design EE 2: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Unit 3 Chapter Combinational 3 Combinational Logic Logic Design - Introduction to Analysis & Design

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Design and Implementation of Reconfigurable FIR Filter

Design and Implementation of Reconfigurable FIR Filter Design and Implementation of Reconfigurable FIR Filter using VHBCSE Algorithm Nune Anusha 1 B. Vasu Naik 2 anushanune44@gmail.com 1 vasu523@gmail.com 2 1 PG Scholar, Dept of ECE, Ganapathy Engineering

More information

S.Nagaraj 1, R.Mallikarjuna Reddy 2

S.Nagaraj 1, R.Mallikarjuna Reddy 2 FPGA Implementation of Modified Booth Multiplier S.Nagaraj, R.Mallikarjuna Reddy 2 Associate professor, Department of ECE, SVCET, Chittoor, nagarajsubramanyam@gmail.com 2 Associate professor, Department

More information

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description:

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: Number systems. Switching algebra. Hardware description languages. Simplification of Boolean functions. Combinational

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

VLSI DESIGN OF DIGIT-SERIAL FPGA ARCHITECTURE

VLSI DESIGN OF DIGIT-SERIAL FPGA ARCHITECTURE Journal of Circuits, Systems, and Computers Vol. 3, No. (24) 7 52 c World Scientific Publishing Company VLSI ESIGN OF IGIT-SERIAL FPGA ARCHITECTURE HANHO LEE School of Information and Communication Engineering,

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Computer Architecture and Organization:

Computer Architecture and Organization: Computer Architecture and Organization: L03: Register transfer and System Bus By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept. HKU Outlines

More information

A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm

A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm 1 BANOTHU DHARMA, 2 O.RAVINDER, 3 B.HANMANTHU 1,2 Dept. of ECE, Sree Chaitanya College of Engineering, Karimnagar, T.S. India

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Fir Filter Using Area and Power Efficient Truncated Multiplier R.Ambika *1, S.Siva Ranjani 2 *1 Assistant Professor,

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

FIR Compiler v3.2. General Description. Features

FIR Compiler v3.2. General Description. Features 0 FIR Compiler v3.2 DS534 October 10, 2007 0 0 Features Highly parameterizable drop-in module for Virtex, Virtex-E, Virtex-II, Virtex-II Pro, Virtex-4, Virtex-5, Spartan -II, Spartan-IIE, Spartan-3, Spartan-3A/3AN/3A

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

Performance Analysis of FIR Digital Filter Design Technique and Implementation

Performance Analysis of FIR Digital Filter Design Technique and Implementation Performance Analysis of FIR Digital Filter Design Technique and Implementation. ohd. Sayeeduddin Habeeb and Zeeshan Ahmad Department of Electrical Engineering, King Khalid University, Abha, Kingdom of

More information

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm M. Suhasini, K. Prabhu Kumar & P. Srinivas Department of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design

Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design Steve Haynal and Behrooz Parhami Department of Electrical and Computer Engineering University

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BYAENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2017 March 11(3): pages 176-181 Open Access Journal A Duck Power Aerial

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

A Parallel Multiplier - Accumulator Based On Radix 4 Modified Booth Algorithms by Using Spurious Power Suppression Technique

A Parallel Multiplier - Accumulator Based On Radix 4 Modified Booth Algorithms by Using Spurious Power Suppression Technique Vol. 3, Issue. 3, May - June 2013 pp-1587-1592 ISS: 2249-6645 A Parallel Multiplier - Accumulator Based On Radix 4 Modified Booth Algorithms by Using Spurious Power Suppression Technique S. Tabasum, M.

More information

Design of a Decimator Filter for Novel Sigma-Delta Modulator

Design of a Decimator Filter for Novel Sigma-Delta Modulator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 2, Issue 1 (Mar. Apr. 2013), PP 31-37 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of a Decimator Filter for Novel Sigma-Delta Modulator

More information

DIGITAL ELECTRONICS QUESTION BANK

DIGITAL ELECTRONICS QUESTION BANK DIGITAL ELECTRONICS QUESTION BANK Section A: 1. Which of the following are analog quantities, and which are digital? (a) Number of atoms in a simple of material (b) Altitude of an aircraft (c) Pressure

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

CHAPTER 4 DDS USING HWP CORDIC ALGORITHM

CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 90 CHAPTER 4 DDS USING HWP CORDIC ALGORITHM 4.1 INTRODUCTION Conventional DDFS implementations have disadvantages in area and power (Song and Kim 2004b). The conventional implementation of DDS is a brute-force

More information

Advanced Digital Signal Processing Part 5: Digital Filters

Advanced Digital Signal Processing Part 5: Digital Filters Advanced Digital Signal Processing Part 5: Digital Filters Gerhard Schmidt Christian-Albrechts-Universität zu Kiel Faculty of Engineering Institute of Electrical and Information Engineering Digital Signal

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Hardware Efficient FIR Filter for Wireless Sensor Networks

A Hardware Efficient FIR Filter for Wireless Sensor Networks International Journal of Innovative Research in Computer Science & Technology (IJIRCST) ISSN: 2347-5552, Volume-2, Issue-3, May 204 A Hardware Efficient FIR Filter for Wireless Sensor Networks Ch. A. Swamy,

More information

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. PP 42-46 www.iosrjournals.org Design and Simulation of Convolution Using Booth Encoded Wallace

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

Comparison of Conventional Multiplier with Bypass Zero Multiplier

Comparison of Conventional Multiplier with Bypass Zero Multiplier Comparison of Conventional Multiplier with Bypass Zero Multiplier 1 alyani Chetan umar, 2 Shrikant Deshmukh, 3 Prashant Gupta. M.tech VLSI Student SENSE Department, VIT University, Vellore, India. 632014.

More information

EE 109 Midterm Review

EE 109 Midterm Review EE 109 Midterm Review 1 2 Number Systems Computer use base 2 (binary) 0 and 1 Humans use base 10 (decimal) 0 to 9 Humans using computers: Base 16 (hexadecimal) 0 to 15 (0 to 9,A,B,C,D,E,F) Base 8 (octal)

More information

FAST MULTIPLICATION: ALGORITHMS AND IMPLEMENTATION

FAST MULTIPLICATION: ALGORITHMS AND IMPLEMENTATION FAST MULTIPLICATION: ALORITHMS AND IMPLEMENTATION A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENINEERIN AND THE COMMITTEE ON RADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF

More information

FINITE-impulse response (FIR) filters play a crucial role

FINITE-impulse response (FIR) filters play a crucial role IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 8, AUGUST 2006 617 A Low-Power Digit-Based Reconfigurable FIR Filter Kuan-Hung Chen and Tzi-Dar Chiueh, Senior Member, IEEE Abstract

More information

b(n) a(n) y(n) + + x(n) (a) y(n) x(n) (b) b(2k) a(2k) y(2k) + + x(2k) b(2k+1) a(2k+1) y(2k+1) + + x(2k+1) (c)

b(n) a(n) y(n) + + x(n) (a) y(n) x(n) (b) b(2k) a(2k) y(2k) + + x(2k) b(2k+1) a(2k+1) y(2k+1) + + x(2k+1) (c) S-38.220 Postgraduate Course on Signal Processing in Communications, FALL-99 Pipelining and Parallel Processing Carl Eklund Nokia Research Center P.O. Bo 407 FIN-00045 Nokia Group E-Mail: carl.eklund@nokia.com

More information

FINITE IMPULSE RESPONSE (FIR) FILTER

FINITE IMPULSE RESPONSE (FIR) FILTER CHAPTER 3 FINITE IMPULSE RESPONSE (FIR) FILTER 3.1 Introduction Digital filtering is executed in two ways, utilizing either FIR (Finite Impulse Response) or IIR (Infinite Impulse Response) Filters (MathWorks

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Using FPGA. Warin Sootkaneung Department of Electrical Engineering. and

Using FPGA. Warin Sootkaneung Department of Electrical Engineering. and Tl D.3 The Design of Bit-Serial Lattice Wave Digital Filter Using FPGA Warin Sootkaneung Department of Electrical Engineering Rajamangala University of Technology Phra Nakhon, Thewes Campus Bangkok, Thailand

More information

Modified Partial Product Generator for Redundant Binary Multiplier with High Modularity and Carry-Free Addition

Modified Partial Product Generator for Redundant Binary Multiplier with High Modularity and Carry-Free Addition Modified Partial Product Generator for Redundant Binary Multiplier with High Modularity and Carry-Free Addition Thoka. Babu Rao 1, G. Kishore Kumar 2 1, M. Tech in VLSI & ES, Student at Velagapudi Ramakrishna

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

(Proceedings of the IEEE ARITH 14, Adelaide, Australia, April 1999, pp )

(Proceedings of the IEEE ARITH 14, Adelaide, Australia, April 1999, pp ) Area elay (A T) Efficient Multiplier Based on an Intermediate Hybrid Signed igit (HS 1) Representation Jeng-Jong J. ue Summit Systems, Inc. 22 Cortlandt St. 31st l New York, NY 10007 hananjay S. Phatak

More information

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Abstract A new low area-cost FIR filter design is proposed using a modified Booth multiplier based on direct form

More information